当前位置: 首页 > news >正文

基于FPGA实现LED的闪烁——HLS

基于FPGA实现LED的闪烁——HLS

引言:

​ 随着电子技术的飞速发展,硬件设计和开发的速度与效率成为了衡量一个项目成功与否的关键因素。在传统的硬件开发流程中,工程师通常需要使用VHDL或Verilog等硬件描述语言来编写底层的硬件逻辑,这不仅耗时而且对于复杂算法的实现存在一定的局限性。为了解决这一问题,高层次综合(High-Level Synthesis, HLS)技术应运而生,它允许开发者使用更高级的编程语言,如C/C++,来设计硬件,从而大幅提高开发效率和灵活性。

·

本实验旨在通过一个具体的实例——LED灯的闪烁控制,来展示HLS技术的应用。我们将利用Xilinx的Vivado HLS工具,将C/C++代码转换为硬件电路,实现LED灯的闪烁效果。通过本实验,参与者不仅能够了解HLS的基本概念和关键技术,还能够掌握从环境配置到实际硬件实现的完整流程。

·

希望你在本次学习过后,能够有一定的收获!!!

推荐歌曲—雨爱-杨丞琳

​ 冲啊!!!! ٩(͡๏̯͡๏)۶ ٩(͡๏̯͡๏)۶ ٩(͡๏̯͡๏)۶

文章目录

  • 基于FPGA实现LED的闪烁——HLS
    • 一、任务介绍
    • 二、HLS的相关介绍
      • HLS的概念拓展
      • HLS的优势
      • HLS与VHDL/Verilog的比较
    • 三、HLS相关环境配置
      • 1.vivado 安装
      • 2.创建HLS工程
      • 3.添加相关文件
      • 4.C仿真与C综合
      • 5.创建Vivado工程
      • 6.导入HLS生成的IP核
      • 7.添加实验代码
      • 8.约束文件编写
      • 9.编译生成获取结果
    • 四、效果展示
    • 五、总结

一、任务介绍

  1. 了解HLS的相关内容
  2. 实现HLS的实验环境配置
  3. 使用HLS点亮一个小灯

二、HLS的相关介绍

HLS的概念拓展

高层次综合(High-Level Synthesis, HLS) 是一种先进的硬件设计方法,它允许开发者使用高级编程语言(如C、C++或SystemC)来描述硬件功能,而不是传统的硬件描述语言(HDL)如VHDL或Verilog。HLS工具能够自动将这些高级语言代码转换为寄存器传输级(Register Transfer Level, RTL)电路设计,这大大简化了硬件设计过程,并缩短了开发周期。

HLS的优势

  1. 提高生产力:开发者可以使用熟悉的高级语言进行编程,而不需要精通硬件描述语言。
  2. 算法优化:高级语言提供了更直观的方式来表达复杂的算法,HLS工具可以优化这些算法实现,以满足硬件性能要求。
  3. 快速原型开发:HLS允许快速迭代和原型设计,加速了从概念到实现的过程。
  4. 代码重用:现有的软件代码可以被重用,减少了从头开始编写代码的需求。

HLS与VHDL/Verilog的比较

  • VHDL/Verilog:这些是传统的硬件描述语言,适用于精确控制硬件行为和结构的场景。它们通常用于复杂的硬件设计,需要开发者有较强的硬件设计背景。
  • HLS:提供了一种更高层次的设计抽象,允许开发者专注于算法和功能,而不是硬件的具体实现细节。这使得HLS特别适合于算法密集型和数据处理密集型的应用。

HLS的关键技术

  1. 代码分析与优化:HLS工具需要能够理解和分析高级语言代码,并进行优化以满足性能、面积和功耗等硬件设计要求。
  2. 资源分配:自动决定使用哪些硬件资源(如查找表、寄存器、乘法器等)来实现代码。
  3. 时序分析:确保生成的硬件设计满足时序要求,能够在给定的时钟频率下稳定工作。
  4. 并行处理:利用并行处理技术来提高设计的性能。

技术局限性

  1. 复杂性:对于极其复杂的人工智能算法,HLS可能需要高级的优化技术来实现高效的硬件加速。
  2. 编程能力要求:虽然HLS简化了硬件设计过程,但仍然需要开发者具备强大的C/C++编程能力,以及对并行计算和硬件架构的理解。
  3. 工具限制:不同的HLS工具可能有不同的优化能力和支持的语言特性,这可能限制了设计的灵活性。

三、HLS相关环境配置

1.vivado 安装

1.下载Vivado(如Vivado2019.1)
这个可以去官网下载,或者找实验室同学要一个安装文件,大概四五十GB,准备好安装文件后,直接点解xsetup程序进入安装

在这里插入图片描述

(整个安装文件夹44G)

2.安装过程
会弹出:

在这里插入图片描述点击Next,

在这里插入图片描述

点击Continue

在这里插入图片描述

三个I Agree全部勾选,点击Next,

在这里插入图片描述

选中第二个,Next,会出现选择需要的工具,设备等(本次重装的原因就是因为原版本安装的时候没有选择需要的ZYNQ的设备型号,所以建议大家磁盘有空间的把Devices全部勾选)

在这里插入图片描述

这里我将默认安装在C盘,但完全可以定义自己的路径,没有影响

在这里插入图片描述

接着Next,这次将进入长期的安装过程(约2h),如下:

在这里插入图片描述

漫长的等待后,出现这个界面,此时离安装成功只剩一步———破解了:

在这里插入图片描述

点击Get Licenses,选择Load License,在右方选择 Copy Licenses

在这里插入图片描述

这时候找到我们准备好的License文件就好了,没有的可以在这下载:https://download.csdn.net/download/weixin_49457347/85014356
下载解压后是一个这个文件(名称不重要,源文件名是vivado_lic2037):

在这里插入图片描述

选中该文件后,弹出安装成功!

在这里插入图片描述

2.创建HLS工程

创建一个HLS工程
①打开Vivado HLS,点击Create New Project
在这里插入图片描述
②输入相关工程信息
在这里插入图片描述
③选择顶层函数,此处暂不管
在这里插入图片描述

④选择添加C仿真文件,此处可以暂时不管
在这里插入图片描述
⑤选择器件
在这里插入图片描述

3.添加相关文件

①源文件添加
点击Source,右键后,选择New File,创建文件

led.h

#ifndef _SHIFT_LED_H_
#define _SHIFT_LED_H_#include "ap_int.h"
#define CNT_MAX 100000000
//#define CNT_MAX 100,100M时钟频率下计数一秒钟所需要的计数次数
#define FLASH_FLAG CNT_MAX-2
// typedef int led_t;
// typedef int cnt_t;
typedef ap_int<1> led_t;
typedef ap_int<32> cnt_t;
void flash_led(led_t *led_o , led_t led_i);#endif

led.cpp

#include "led.h"void flash_led(led_t *led_o , led_t led_i){
#pragma HLS INTERFACE ap_vld port=led_i
#pragma HLS INTERFACE ap_ovld port=led_ocnt_t i;for(i=0;i<CNT_MAX;i++){if(i==FLASH_FLAG){*led_o = ~led_i;}}
}

②仿真测试文件添加
右键Test Bench,选择New File

test_led.cpp

#include "led.h"
#include <stdio.h>
int main(){led_t led_i=0x01;led_t led_o;const int SHIFT_TIME = 4;int i;for(i=0;i<SHIFT_TIME;i++){flash_led(&led_o , led_i);led_i = led_o;printf("shift_out is %d \n",(int)(led_o&0x01));}
}

4.C仿真与C综合

①点击project->project settings->synthesis->browser->选择顶层函数

在这里插入图片描述

②点击project->Run C Simulation(输出01交替,表示C仿真结果正确)

在这里插入图片描述

③点击Solution->Run C Synthesis->Active Solution

在这里插入图片描述

5.创建Vivado工程

①打开Vivado,选择Greate Project
在这里插入图片描述
②点击Next,进行项目信息填写
在这里插入图片描述
③勾选RTL Project
在这里插入图片描述
④Source和约束文件添加,暂时不管,直接Next
⑤选择器件
img
⑥点击Finish
在这里插入图片描述

6.导入HLS生成的IP核

①生成IP核
选择Solution->Export RTL
在这里插入图片描述
②导入
点击setting
在这里插入图片描述
选择IP->Repository,并且点击加号,选择solution,将会自动识别到IP,识别到后,点击Apply->OK
在这里插入图片描述
检验是否导入成功
在这里插入图片描述
生成IP
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

7.添加实验代码

①选择Add Sources
在这里插入图片描述
②文件名称填写
在这里插入图片描述
③代码内容

`timescale 1ns / 1ps
module flash_led(
input wire clk ,
input wire rst_n ,
output wire led_o
);wire rst ;//同步复位
wire ap_ready ;//当前可以接收下一次数据
reg ap_start ;//IP 开始工作
reg led_i_vld ;//输入数据有效
wire led_o_vld ;
reg led_i ;//输入的 led 信号
wire led_o_r ;
wire ap_done ;
wire ap_idle ;
reg [1:0] delay_cnt ;
assign rst = ~rst_n ;
assign led_o = led_o_r ;//----------------delay_cnt------------------
always @(posedge clk) begin
if (rst==1'b1) begin
delay_cnt <= 'd0;
end
else if(delay_cnt[1]==1'b0) begin
delay_cnt <= delay_cnt + 1'b1;
end
end//----------------ap_start------------------
always @(posedge clk) begin
if (rst==1'b1) begin
ap_start <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
ap_start <= 1'b1;
end
end//----------------led_i_vld------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i_vld <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
led_i_vld <= 1'b1;
end
end//----------------ap_i------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i <= 1'b0;
end
else if(led_o_vld==1'b1)begin
led_i <= led_o_r ;
end
endflash_led_0 inst_flash_led (
.led_o_V_ap_vld(led_o_vld), // output wire led_o_V_ap_vld
.led_i_V_ap_vld(led_i_vld), // input wire led_i_V_ap_vld
.ap_clk(clk), // input wire ap_clk
.ap_rst(rst), // input wire ap_rst
.ap_start(ap_start), // input wire ap_start
.ap_done(ap_done), // output wire ap_done
.ap_idle(ap_idle), // output wire ap_idle
.ap_ready(ap_ready), // output wire ap_ready
.led_o_V(led_o_r), // output wire [0 : 0] led_o_V
.led_i_V(led_i) // input wire [0 : 0] led_i_V
); 
endmodule

8.约束文件编写

①创建约束文件
在这里插入图片描述
②填写相关文件信息
在这里插入图片描述
③代码内容

##############LED define################## 
set_property PACKAGE_PIN P15 [get_ports {led_o}] 
set_property IOSTANDARD LVCMOS33 [get_ports {led_o}]##############Reset define################## 
set_property PACKAGE_PIN P16 [get_ports {rst_n}] 
set_property IOSTANDARD LVCMOS33 [get_ports {rst_n}]##############50M CLK define################## 
create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk]
set_property PACKAGE_PIN N18 [get_ports {clk}] 
set_property IOSTANDARD LVCMOS33 [get_ports {clk}]

9.编译生成获取结果

①生成
在这里插入图片描述
②进行下载
点击Open Hardware Manager->Open target->Auto target后,显示如下
在这里插入图片描述
点击Program device,下载程序,直接点击Program
在这里插入图片描述

四、效果展示

在这里插入图片描述

五、总结

HLS的关键技术包括代码分析与优化、资源分配、时序分析和并行处理,这些技术共同确保了生成的硬件设计既高效又可靠。然而,HLS也存在一些局限性,尤其是在处理极其复杂的人工智能算法时,可能需要更高级的优化技术和对硬件架构的深入理解。此外,HLS的成功实施依赖于开发者强大的C/C++编程能力。

·

尽管有其挑战,HLS的优势不容忽视。它使得硬件设计更加快速、灵活,并且能够重用现有的软件代码,加速了从概念到实现的转换过程。随着技术的不断进步,HLS工具的优化能力和支持的语言特性也在不断增强,预示着HLS在未来硬件设计领域的广泛应用和发展潜力。

·

总结来说,高层次综合作为一种创新的设计方法,正在逐渐改变传统的硬件开发流程,为硬件设计领域带来新的机遇和挑战。随着对HLS工具的不断改进和优化,我们有理由相信,HLS将在未来的硬件设计和开发中扮演越来越重要的角色。

最后感谢大佬友情链接:

  • https://blog.csdn.net/qq_43279579/article/details/117084706
  • https://blog.csdn.net/weixin_49457347/article/details/123643998

相关文章:

  • AURIX TC3xx单片机介绍-启动过程介绍2
  • 他用AI,抄袭了我的AI作品
  • C#--WPF自定义控件模板示例
  • mysqlbinlog解析
  • 数据分析之统计学基础
  • debian 常用命令
  • 通关!游戏设计之道Day17
  • 【如何在Qt C++中使用SSL和TLS加密传输数据?】
  • labview类编程
  • C++模板元编程--函数萃取
  • Cweek2+3
  • 算数运算符
  • 58. 最后一个单词的长度
  • (二刷)代码随想录第16天|104.二叉树的最大深度 559.n叉树的最大深度● 111.二叉树的最小深度● 222.完全二叉树的节点个数
  • java 对接农行支付相关业务(二)
  • Android组件 - 收藏集 - 掘金
  • angular学习第一篇-----环境搭建
  • cookie和session
  • Iterator 和 for...of 循环
  • leetcode46 Permutation 排列组合
  • Linux Process Manage
  • Mithril.js 入门介绍
  • Python 基础起步 (十) 什么叫函数?
  • 阿里云ubuntu14.04 Nginx反向代理Nodejs
  • 规范化安全开发 KOA 手脚架
  • 机器学习学习笔记一
  • 日剧·日综资源集合(建议收藏)
  • 使用parted解决大于2T的磁盘分区
  • Salesforce和SAP Netweaver里数据库表的元数据设计
  • 第二十章:异步和文件I/O.(二十三)
  • 曜石科技宣布获得千万级天使轮投资,全方面布局电竞产业链 ...
  • ​linux启动进程的方式
  • ​业务双活的数据切换思路设计(下)
  • ​一、什么是射频识别?二、射频识别系统组成及工作原理三、射频识别系统分类四、RFID与物联网​
  • #QT(串口助手-界面)
  • (17)Hive ——MR任务的map与reduce个数由什么决定?
  • (2024最新)CentOS 7上在线安装MySQL 5.7|喂饭级教程
  • (笔试题)合法字符串
  • (六) ES6 新特性 —— 迭代器(iterator)
  • (免费领源码)Java#ssm#MySQL 创意商城03663-计算机毕业设计项目选题推荐
  • (三)Pytorch快速搭建卷积神经网络模型实现手写数字识别(代码+详细注解)
  • (五)IO流之ByteArrayInput/OutputStream
  • (五十)第 7 章 图(有向图的十字链表存储)
  • (已解决)报错:Could not load the Qt platform plugin “xcb“
  • (转)c++ std::pair 与 std::make
  • (转)winform之ListView
  • (转载)VS2010/MFC编程入门之三十四(菜单:VS2010菜单资源详解)
  • *p=a是把a的值赋给p,p=a是把a的地址赋给p。
  • .Family_物联网
  • .NET / MSBuild 扩展编译时什么时候用 BeforeTargets / AfterTargets 什么时候用 DependsOnTargets?
  • .NET CF命令行调试器MDbg入门(三) 进程控制
  • .net core控制台应用程序初识
  • .NET MAUI Sqlite数据库操作(二)异步初始化方法
  • .Net的C#语言取月份数值对应的MonthName值
  • .net专家(张羿专栏)