当前位置: 首页 > news >正文

VCS基本仿真

这里记录三种仿真方式:

        第一种是将verilog文件一个一个敲在终端上进行仿真;

        第二种是将多个verilog文件的文件路径整理在一个文件中,然后进行仿真;

        第三种是利用makefile文件进行仿真;

以8位加法器为例:

一位加法器:

module fa(a, b, cin, sum, cout);
input a, b, cin;
output sum, cout;
assign {cout, sum} = a + b + cin;
endmodule

以一位加法器组成的4位加法器:

module add4(a, b, cin, sum, cout);
input [3:0] a, b;
input cin;
output cout;
output [3:0] sum;
wire [3:1] c;fa u1(a[0], b[0], cin, sum[0], c[1]);
fa u2(a[1], b[1], c[1], sum[1], c[2]);
fa u3(a[2], b[2], c[2], sum[2], c[3]);
fa u4(a[3], b[3], c[3], sum[3], cout);endmodule

以4位加法器组成的8位加法器

module add8(a, b, cin, sum, cout);
input [7:0] a, b;
input cin;
output cout;
output [7:0] sum;
wire c4, c8_0, c8_1;
wire [7:4] sum_0, sum_1;add4 u1(a[3:0], b[3:0], cin, sum[3:0], c4);
add4 low_add(a[7:4], b[7:4], 1'b0, sum_0, c8_0);
add4 high_add(a[7:4], b[7:4], 1'b1, sum_1, c8_1);assign sum[7:4] = c4?sum_1:sum_0;
assign cout = c4?c8_1:c8_0;endmodule

testbench

module addertb;
reg [7:0] a_test, b_test;
wire [7:0] sum_test;
reg cin_test;
wire cout_test;
reg [17:0] test;add8 u1(a_test, b_test, cin_test, sum_test, cout_test);initial
beginfor (test = 0; test <= 18'h1ffff; test = test +1) begincin_test = test[16];a_test = test[15:8];b_test = test[7:0];#50;if ({cout_test, sum_test} !== (a_test + b_test + cin_test)) begin$display("***ERROR at time = %0d ***", $time);$display("a = %h, b = %h, sum = %h;  cin = %h, cout = %h",a_test, b_test, sum_test, cin_test, cout_test);$finish;end#50;end$display("*** Testbench Successfully completed! ***");$finish;
end
endmodule

1.第一种:将verilog文件一个一个敲在终端上进行仿真:

在存在verilog文件的文件夹内,打开终端,输入以下命令

1.1 先进行编译:

vcs fa.v addertb.v add8.b add4.v -l readme.log +v2k -debug_all

1.2 再进行仿真:

./simv -l run.log

1.3 打开图形化界面DVE

./simv -gui

1.4 在选中所有信号,再右键add to wave,再new wave view

1.5 最后输出波形

点击1处的小箭头,便有波形出现。使用2处的三个按钮(预览全局、放大和缩小)调整波形。在3处鼠标左键按住不动,左右拖动即可选取一个观察的时间范围。

2. 第二种将多个verilog文件的文件路径整理在一个文件中,然后进行仿真

2.1 将verilog文件路径放在一个文件中,文件命名为file.f

find -name '*v' > file.f
gvim file.f

2.2 再进行编译

vcs -f file.f -l readme.log +v2k -debug_all

2.3 编译后,再进行仿真,图形化界面和输出波形与第一种方法类似

3. 第三种是利用makefile文件进行仿真

3.1先编写makefile文件,文件名为simv

.PHONY:com sim cleanOUTPUT = simv  VCS = vcs -sverilog +v2k -timescale=1ns/1ns                             \-debug_all							\-o ${OUTPUT}							\-l compile.log						\SIM = ./${OUTPUT} -l run.logcom:${VCS} -f file.fsim:${SIM}clean:rm -rf ./csrc *.daidir *.log simv* *.key

3.2 再进行编译,打开终端,输入

make com

3.3 再进行仿真,输入

make sim

3.4 再打开图形化界面

./simv -gui

参考资料:

新手教程01:逻辑仿真工具VCS的基础使用_vcs使用教程-CSDN博客

https://www.cnblogs.com/amxiang/p/16688581.html

相关文章:

  • 线性代数|机器学习-P9向量和矩阵范数
  • 目标检测数据集 - 智能零售柜商品检测数据集下载「包含VOC、COCO、YOLO三种格式」
  • MacOS M系列芯片一键配置多个不同版本的JDK
  • SpringBoot+Vue幼儿园管理系统(前后端分离)
  • tomcat-valve通过servlet处理请求
  • HTTPS和TCP
  • C++ 数据共享与保护学习记录【代码】
  • Unity 编辑器扩展 一键替换指定物体下的所有材质球
  • Android14 WMS-窗口绘制之relayoutWindow流程(一)-Client端
  • Java学习-JDBC(一)
  • 【数据结构】图论入门
  • 开发常用软件
  • PDF编辑与转换的终极工具智能PDF处理Acrobat Pro DC
  • Day14:响应式网页
  • java 原生http服务器 测试JS前端ajax访问实现跨域传post数据
  • [iOS]Core Data浅析一 -- 启用Core Data
  • 0基础学习移动端适配
  • 230. Kth Smallest Element in a BST
  • axios请求、和返回数据拦截,统一请求报错提示_012
  • export和import的用法总结
  • JavaScript 是如何工作的:WebRTC 和对等网络的机制!
  • Javascript弹出层-初探
  • Java-详解HashMap
  • JS笔记四:作用域、变量(函数)提升
  • miniui datagrid 的客户端分页解决方案 - CS结合
  • MySQL常见的两种存储引擎:MyISAM与InnoDB的爱恨情仇
  • Node项目之评分系统(二)- 数据库设计
  • SQLServer之索引简介
  • Vim Clutch | 面向脚踏板编程……
  • 第三十一到第三十三天:我是精明的小卖家(一)
  • 前端设计模式
  • 嵌入式文件系统
  • 如何用Ubuntu和Xen来设置Kubernetes?
  • 什么是Javascript函数节流?
  • 小程序01:wepy框架整合iview webapp UI
  • 学习笔记TF060:图像语音结合,看图说话
  • AI算硅基生命吗,为什么?
  • Java性能优化之JVM GC(垃圾回收机制)
  • #C++ 智能指针 std::unique_ptr 、std::shared_ptr 和 std::weak_ptr
  • #我与Java虚拟机的故事#连载06:收获颇多的经典之作
  • (04)odoo视图操作
  • (13):Silverlight 2 数据与通信之WebRequest
  • (6)STL算法之转换
  • (C语言)逆序输出字符串
  • (Java实习生)每日10道面试题打卡——JavaWeb篇
  • (动手学习深度学习)第13章 计算机视觉---微调
  • (附源码)python旅游推荐系统 毕业设计 250623
  • (六)Hibernate的二级缓存
  • (企业 / 公司项目)前端使用pingyin-pro将汉字转成拼音
  • (十八)三元表达式和列表解析
  • (终章)[图像识别]13.OpenCV案例 自定义训练集分类器物体检测
  • (转)IIS6 ASP 0251超过响应缓冲区限制错误的解决方法
  • (转)JVM内存分配 -Xms128m -Xmx512m -XX:PermSize=128m -XX:MaxPermSize=512m
  • (轉貼) 蒼井そら挑戰筋肉擂台 (Misc)
  • *算法训练(leetcode)第四十五天 | 101. 孤岛的总面积、102. 沉没孤岛、103. 水流问题、104. 建造最大岛屿