当前位置: 首页 > news >正文

RISC_CPU模块的调试

代码:

cpu.v

`include "clk_gen.v"
`include "accum.v"
`include "adr.v"
`include "alu.v"
`include "machine.v"
`include "counter.v"
`include "machinectl.v"
`include "register.v"
`include "datactl.v"module cpu(clk,reset,halt,rd,wr,addr,data);input clk,reset;output rd,wr,addr,halt;inout data;wire clk,reset,halt;wire [7:0]  data;wire [12:0] addr;wire rd,wr;wire clk1,fetch,alu_clk;wire [2:0] opcode;wire [12:0] ir_addr,pc_addr;wire [7:0] alu_out,accum;wire zero,inc_pc,load_acc,load_pc,load_ir,data_ena,contr_ena;clk_gen  m_clk_gen (.clk(clk),.clk1(clk1),.fetch(fetch),.alu_clk(alu_clk),.reset(reset));register  m_register (.data(data),.ena(load_ir),.rst(reset),.clk1(clk1),.opc_iraddr({opcode,ir_addr}));accum      m_accum    (.data(alu_out),.ena(load_acc),.clk1(clk1),.rst(reset),.accum(accum));alu        m_alu      (.data(data),.accum(accum),.alu_clk(alu_clk),.opcode(opcode),.alu_out(alu_out),.zero(zero));machinectl  m_machinecl(.ena(contr_ena),.fetch(fetch),.rst(reset));machine    m_machine  (.inc_pc(inc_pc),.load_acc(load_acc),.load_pc(load_pc),.rd(rd), .wr(wr), .load_ir(load_ir), .clk1(clk1),.datactl_ena(data_ena), .halt(halt), .zero(zero),.ena(contr_ena),.opcode(opcode));datactl    m_datactl  (.in(alu_out),.data_ena(data_ena),.data(data));adr        m_adr (.fetch(fetch),.ir_addr(ir_addr),.pc_addr(pc_addr),.addr(addr));counter    m_counter  (.ir_addr(ir_addr),.load(load_pc),.clock(inc_pc),.rst(reset),.pc_addr(pc_addr));endmodule
//--------------------------------------- cpu.v ?????  -------------------------------------------------

ram.v

// --------------- RAM?ROM ----------------------------------------
module ram( data, addr, ena, read, write );
inout [7:0] data;
input [9:0] addr;
input ena;
input read, write;
reg [7:0] ram [10'h3ff:0];assign data = ( read && ena )?  ram[addr] : 8'hzz;always @(posedge write)
begin
ram[addr]<=data;
end
endmodule

rom.v

module rom( data, addr, read, ena );
output [7:0] data;
input [12:0] addr;
input read, ena;
reg [7:0] memory [13'h1fff:0];
wire [7:0] data;assign data= ( read && ena )? memory[addr] : 8'bzzzzzzzz;endmodule

addr_decode.v

//--------------?????----------------------
module addr_decode( addr, rom_sel, ram_sel);
output rom_sel, ram_sel;
input [12:0] addr;
reg rom_sel, ram_sel;always @( addr )
begin
casex(addr)
13'b1_1xxx_xxxx_xxxx:{rom_sel,ram_sel}<=2'b01;
13'b0_xxxx_xxxx_xxxx:{rom_sel,ram_sel}<=2'b10;
13'b1_0xxx_xxxx_xxxx:{rom_sel,ram_sel}<=2'b10;
default:{rom_sel,ram_sel}<=2'b00;
endcase
end
endmodule/* ????????????????ROM?RAM?
FFFFH---1800H RAM
1800H---0000H ROM -----------------------------*/

cputop.v

//------------------------------------------- cputop.v ????? -----------------------------------------------------
/***********************************************************************
***  ?????cputop ????????????????cpu???????????
***                    ???????????????????????????????
***            ??????????????????????CPU????RTL??
***            ?????????????? 
************************************************************************/
`include "ram.v"
`include "rom.v"
`include "addr_decode.v"
`include "cpu.v"`timescale 1ns / 100ps
`define PERIOD 100             // matches clk_gen.v
module cputop;reg reset_req,clock;integer test;reg [(3*8):0] mnemonic;    //array that holds 3 8-bit ASCII charactersreg [12:0] PC_addr,IR_addr;wire [7:0] data;wire [12:0] addr;wire rd,wr,halt,ram_sel,rom_sel;//------------------------   cpu ?????????ROM?RAM?????--------------------------------------
cpu   t_cpu (.clk(clock),.reset(reset_req),.halt(halt),.rd(rd),.wr(wr),.addr(addr),.data(data));ram   t_ram  (.addr(addr[9:0]),.read(rd),.write(wr),.ena(ram_sel),.data(data));rom   t_rom  (.addr(addr),.read(rd),.ena(rom_sel),.data(data));addr_decode   t_addr_decode (.addr(addr),.ram_sel(ram_sel),.rom_sel(rom_sel));//--------------------cpu ?????????ROM?RAM???????---------------------------------- 
initialbegin clock=1;//display time in nanoseconds$timeformat ( -9,  1, " ns", 12);display_debug_message;sys_reset;test1;$stop;test2;$stop;test3;$stop;
endtask display_debug_message;begin$display("\n**************************************************");$display("*  THE FOLLOWING DEBUG TASK ARE AVAILABLE:           *");$display("* \"test1; \" to load the 1st diagnostic progran. *");$display("*  \"test2; \" to load the 2nd diagnostic program. *");$display("*  \"test3; \" to load the Fibonacci program.      *");$display("*****************************************************\n");endendtasktask test1;begintest = 0;disable MONITOR;$readmemb ("test1.pro", t_rom.memory);$display("rom loaded   successfully!");$readmemb("test1.dat",t_ram.ram);$display("ram loaded   successfully!");#1 test = 1;#14800  ;sys_reset;endendtasktask test2;begintest = 0;disable MONITOR;$readmemb("test2.pro",t_rom.memory);$display("rom loaded  successfully!");$readmemb("test2.dat",t_ram.ram);$display("ram loaded  successfully!");#1 test = 2;#11600;sys_reset;endendtasktask test3;begintest = 0;disable MONITOR;$readmemb("test3.pro",t_rom.memory);$display("rom loaded  successfully!");$readmemb("test3.dat",t_ram.ram);$display("ram loaded  successfully!");#1 test = 3;#94000;sys_reset;endendtasktask sys_reset;beginreset_req = 0;#(`PERIOD*0.7) reset_req = 1; #(1.5*`PERIOD) reset_req = 0;  endendtask always @(test)begin: MONITORcase (test)1: begin                        //display results when running test 1$display("\n*** RUNNING CPUtest1 - The Basic CPU Diagnostic Program ***");$display("\n     TIME           PC       INSTR      ADDR     DATA  ");$display("    ----------      ----     -----     -----       ----- ");while (test == 1) @(t_cpu.m_adr.pc_addr)//fixedif ((t_cpu.m_adr.pc_addr%2 == 1)&&(t_cpu.m_adr.fetch == 1))//fixed begin# 60    PC_addr <=t_cpu.m_adr.pc_addr -1 ;IR_addr <=t_cpu.m_adr.ir_addr;# 340   $strobe("%t   %h     %s     %h  %h", $time, PC_addr, mnemonic, IR_addr,data );//HERE DATA HAS BEEN CHANGED T-CPU-M-REGISTER.DATAend  end2: begin$display("\n*** RUNNING CPUtest2 - The Advanced CPU Diagnostic Program ***");$display("\n     TIME          PC       INSTR      ADDR     DATA  ");$display("   ----------      ---        -----       -----    ---- ");while (test == 2) @(t_cpu.m_adr.pc_addr)if ((t_cpu.m_adr.pc_addr%2 == 1) && (t_cpu.m_adr.fetch == 1))begin # 60    PC_addr  <= t_cpu.m_adr.pc_addr - 1 ;IR_addr  <= t_cpu.m_adr.ir_addr;# 340   $strobe("%t  %h  %s  %h %h", $time, PC_addr,mnemonic, IR_addr, data );end  end3: begin$display("\n***   RUNNING CPUtest3 - An Executable Program   ***");  $display("*** This program should calculate the fibonacci  ***");$display("\n    TIME      FIBONACCI NUMBER");$display(  "  ---------   -----------------");while (test == 3)begin wait ( t_cpu.m_alu.opcode == 3'h1) // display Fib. No. at end of program loop$strobe("%t     %d", $time,t_ram.ram[10'h2]);wait ( t_cpu.m_alu.opcode != 3'h1);endend       endcaseend
//-------------------------------------------------------------------------
always @(posedge halt)       //STOP when HALT instruction decodedbegin#500                $display("\n*********************************************");$display(    "**  A HALT INSTRUCTION WAS PROCESSED  !!!  **");$display(     "*********************************************\n");end
always #(`PERIOD/2) clock=~clock;   
always  @(t_cpu.m_alu.opcode)  //get an ASCII mnemonic for each opcodecase(t_cpu.m_alu.opcode)3'b000  : mnemonic ="HLT";3'h1    : mnemonic = "SKZ";3'h2    : mnemonic = "ADD";3'h3    : mnemonic = "AND";3'h4    : mnemonic = "XOR";3'h5    : mnemonic = "LDA";3'h6    : mnemonic = "STO";3'h7    : mnemonic = "JMP";default : mnemonic = "???";endcaseendmodule
//------------------------------------------- cputop.v ????? -----------------------------------------------------

仿真:

sim:/cputop/rom_sel
run -all

# **************************************************
# *  THE FOLLOWING DEBUG TASK ARE AVAILABLE:           *
# * "test1; " to load the 1st diagnostic progran. *
# *  "test2; " to load the 2nd diagnostic program. *
# *  "test3; " to load the Fibonacci program.      *
# *****************************************************

# rom loaded   successfully!
# ram loaded   successfully!

# *** RUNNING CPUtest1 - The Basic CPU Diagnostic Program ***

#      TIME           PC       INSTR      ADDR     DATA  
#     ----------      ----     -----     -----       ----- 
#    1200.0 ns   0000      JMP     003c  zz
#    2000.0 ns   003c      JMP     0006  zz
#    2800.0 ns   0006      LDA     1800  00
#    3600.0 ns   0008      SKZ     0000  zz
#    4400.0 ns   000c      LDA     1801  ff
#    5200.0 ns   000e      SKZ     0000  zz
#    6000.0 ns   0010      JMP     0014  zz
#    6800.0 ns   0014      STO     1802  ff
#    7600.0 ns   0016      LDA     1800  00
#    8400.0 ns   0018      STO     1802  00
#    9200.0 ns   001a      LDA     1802  00
#   10000.0 ns   001c      SKZ     0000  zz
#   10800.0 ns   0020      XOR     1801  ff
#   11600.0 ns   0022      SKZ     0000  zz
#   12400.0 ns   0024      JMP     0028  zz
#   13200.0 ns   0028      XOR     1801  ff
#   14000.0 ns   002a      SKZ     0000  zz
#   14800.0 ns   002e      HLT     0000  zz

# *********************************************
# **  A HALT INSTRUCTION WAS PROCESSED  !!!  **
# *********************************************

# Break in Module cputop at E:/FPGA/study/cpu/cputop.v line 43
quit -sim

# rom loaded  successfully!
# ram loaded  successfully!

# *** RUNNING CPUtest2 - The Advanced CPU Diagnostic Program ***

#      TIME          PC       INSTR      ADDR     DATA  
#    ----------      ---        -----       -----    ---- 
#   16200.0 ns  0000   LDA  1801 aa
#   17000.0 ns  0002   AND  1802 ff
#   17800.0 ns  0004   XOR  1801 aa
#   18600.0 ns  0006   SKZ  0000 zz
#   19400.0 ns  000a   ADD  1800 01
#   20200.0 ns  000c   SKZ  0000 zz
#   21000.0 ns  000e   JMP  0012 zz
#   21800.0 ns  0012   XOR  1802 ff
#   22600.0 ns  0014   ADD  1800 01
#   23400.0 ns  0016   STO  1803 ff
#   24200.0 ns  0018   LDA  1800 01
#   25000.0 ns  001a   ADD  1803 ff
#   25800.0 ns  001c   SKZ  0000 zz
#   26600.0 ns  0020   HLT  0000 zz

# *********************************************
# **  A HALT INSTRUCTION WAS PROCESSED  !!!  **
# *********************************************

# Break in Module cputop at E:/FPGA/study/cpu/cputop.v line 45
run -continue
# rom loaded  successfully!
# ram loaded  successfully!

# ***   RUNNING CPUtest3 - An Executable Program   ***
# *** This program should calculate the fibonacci  ***

#     TIME      FIBONACCI NUMBER
#   ---------   -----------------
#   33250.0 ns       0
#   40450.0 ns       1
#   47650.0 ns       1
#   54850.0 ns       2
#   62050.0 ns       3
#   69250.0 ns       5
#   76450.0 ns       8
#   83650.0 ns      13
#   90850.0 ns      21
#   98050.0 ns      34
#  105250.0 ns      55
#  112450.0 ns      89
#  119650.0 ns     144

# *********************************************
# **  A HALT INSTRUCTION WAS PROCESSED  !!!  **
# *********************************************

# Break in Module cputop at E:/FPGA/study/cpu/cputop.v line 47
# Break key hit 

相关文章:

  • 开发一个python工具,pdf转图片,并且截成单个图片,然后修整没用的白边
  • MyBatis打印不带问号SQL
  • 电子书(chm)-加载JS--CS上线
  • 鸿蒙开发组件:【FA模型的Context】
  • 【html5的video标签在移动端的使用】【微信内部浏览器video自动播放】【vue-video-player】
  • 用ip link add link命令创建vlan子设备
  • 【踩坑】修复Ubuntu远程桌面忽然无法Ctrl C/V复制粘贴及黑屏
  • VMware清理拖拽缓存
  • Avalonia for VSCode
  • 电脑有线无线一起用怎么设置
  • 宕机了, redis如何保证数据不丢?
  • 【转载】使用 .NET Upgrade Assistant(升级助手)升级 .NET 老旧版本项目
  • python 逻辑控制语句、循环语句
  • SQL 表连接(表关联)
  • 爬虫补环境,ES6 Class在环境模拟中的应用与优势
  • 收藏网友的 源程序下载网
  • 2017 年终总结 —— 在路上
  • Android Studio:GIT提交项目到远程仓库
  • Babel配置的不完全指南
  • C++入门教程(10):for 语句
  • Java小白进阶笔记(3)-初级面向对象
  • Laravel 中的一个后期静态绑定
  • mockjs让前端开发独立于后端
  • mysql innodb 索引使用指南
  • mysql_config not found
  • MySQL常见的两种存储引擎:MyISAM与InnoDB的爱恨情仇
  • Python3爬取英雄联盟英雄皮肤大图
  • python学习笔记 - ThreadLocal
  • Vue2.0 实现互斥
  • vuex 笔记整理
  • 前端学习笔记之观察者模式
  • 微信小程序设置上一页数据
  • 掌握面试——弹出框的实现(一道题中包含布局/js设计模式)
  • 阿里云服务器如何修改远程端口?
  • ​iOS实时查看App运行日志
  • $Django python中使用redis, django中使用(封装了),redis开启事务(管道)
  • (6)设计一个TimeMap
  • (delphi11最新学习资料) Object Pascal 学习笔记---第7章第3节(封装和窗体)
  • (HAL库版)freeRTOS移植STMF103
  • (二)hibernate配置管理
  • (二)什么是Vite——Vite 和 Webpack 区别(冷启动)
  • (七)微服务分布式云架构spring cloud - common-service 项目构建过程
  • (强烈推荐)移动端音视频从零到上手(下)
  • (四)进入MySQL 【事务】
  • (四)库存超卖案例实战——优化redis分布式锁
  • (限时免费)震惊!流落人间的haproxy宝典被找到了!一切玄妙尽在此处!
  • (一)Linux+Windows下安装ffmpeg
  • (原創) 如何解决make kernel时『clock skew detected』的warning? (OS) (Linux)
  • (转)GCC在C语言中内嵌汇编 asm __volatile__
  • (转)mysql使用Navicat 导出和导入数据库
  • (转)Unity3DUnity3D在android下调试
  • (轉)JSON.stringify 语法实例讲解
  • **python多态
  • *_zh_CN.properties 国际化资源文件 struts 防乱码等
  • ... fatal error LINK1120:1个无法解析的外部命令 的解决办法