当前位置: 首页 > news >正文

EDA实验-----4*4矩阵键盘与数码管显示测试

目录

一、实验目的

二、实验仪器设备

三、实验原理

四、实验要求

五、实验步骤

六、实验报告

七、实验过程

1.矩阵键盘按键原理

2.数码管原理

3.分频器代码

 4.电路图连接

 5.文件烧录


一、实验目的

  1. 了解数码管的工作原理;
  2. 掌握4*4矩阵键盘和数码管显示的编程方法。
  3. 学会用于Verilog语言进行程序设计。

二、实验仪器设备

  1. PC机一台。
  2. FPGA实验开发系统一套。

三、实验原理

本实验通过扫描4*4矩阵键盘的值,在数码管上显示对应按钮的编号数据。矩阵键盘及数码管电路如下所示。

 

四、实验要求

  1. 预习教材中的相关内容。
  2. 阅读并熟悉本次实验的内容。
  3. 完成实验内容。

五、实验步骤

  1. 启动 Quartus II 建立一个空白工程,选择的器件为 Altera 公司的 Cyclone 系列的 EP2C8Q240C8芯片,命名为 keyarray.qpf;
  2. 新建一个 Schematic File 文件,命名为 keyarray.bdf分别新建 3 个 Verilog HDL File 文件,分别命名为 seg_show.v、 divclk.v、 keyarraycontrol.v。输入程序代码并保存(对应源程序 8),然后进行综合编译。若在编译过程中发现错误,则找出错误并更正错误,直至编译成功为止。
  3. 从设计文件创建模块(FileàCreat UpdateàCreat Symbol Files for Current File) ,seg_show.v 生成名为 seg_show.bsf; divclk.v 生成名为 divclk.bsf; keyarraycontrol.v 生成名为keyarraycontrol.bsf;
  4. 在 keyarray.bdf 文件中,在空白处双击鼠标左键,在 Symbol 对话框左上角的 libraries 中,分别将 Project 下的 seg_show, divclk, keyarraycontrol 模块放在图形文件 keyarray.bdf 中,加入输入、输出引脚,双击每个引脚,进行引脚命名,并锁定管脚,将未使用的引脚设置为三态输入(一定要设置,否则可能会损坏芯片)

  5. 将 keyarray.bdf 设置为顶层实体。对该工程文件进行全程编译处理,若在编译过程中出现错误,则找出错误并更正,直至编译通过为止;
  6. 将 USB-Blaster 下载电缆的两端分别连接到 PC 机的 USB 接口和 EDA 实验箱上的 JTAG 下载口上,打开电源,执行下载命令,把程序下载到 FPGA 器件中,此时,即可在 EDA 实验箱上通过按下相应的按键使蜂鸣器发出对应的音符声响。

完整的顶层模块原理图如图所示:

六、实验报告

  1. 总结Verilog设计多路选择器使用的最基本与核心的语法知识。
  2. 对仿真的结果进行分析。
  3. 讨论自己在设计过程中遇到的问题、解决的过程以及收获体会。

七、实验过程

创建项目、创建Verilog文件、写代码、进行波形仿真、画出电路图、设置管脚和三态、烧录文件

1.矩阵键盘按键原理

在讲实验过程之前,先讲讲相关的原理,不知道原理的话就很难去写代码。

矩阵按键模块是先按行选取到某一行,然后再选列,跟矩阵选择某一个点的原理是一样的,如果按下这个按键的时候,此时两边的开关是接通的,这时候就会返回到一个矩阵按键回馈的信息,我们只需要去读取到这个信息,然后再根据行列的相关位置,把这个信息转换为相对于的数字返回即可。

Verilog代码(读取到矩阵按键按下的位置,输出相对于的数字):

module keyarraycontrol(clk,rst,row,col,keydata);
input				clk;
input 				rst;
input	[3:0]		row;
output	reg[3:0]	col;
output 	reg[3:0]	keydata;
reg  keyint;reg [19:0] cnt;      
//分频获得键盘扫描频率                  
always @ (posedge clk, negedge rst) if (!rst) cnt <= 0; else cnt <= cnt + 1'b1; 
//将计数的最高位赋给key_clk 
wire key_clk = cnt[19];                // (2^20/50M = 21)ms  
//设定扫描状态判断参数
parameter NO_KEY_PRESSED = 6'b000_001;  // 如果没有按键按下的时候
parameter SCAN_COL0      = 6'b000_010;  // 按下第一行按键
parameter SCAN_COL1      = 6'b000_100;  // 按下第二行按键
parameter SCAN_COL2      = 6'b001_000;  // 按下第三行按键
parameter SCAN_COL3      = 6'b010_000;  // 按下第四行按键
parameter KEY_PRESSED    = 6'b100_000;  // 有按键按下状态reg [5:0] current_state, next_state;    // 当前状态,,,下一个状态always @ (posedge key_clk, negedge rst) if (!rst) current_state <= NO_KEY_PRESSED; else current_state <= next_state; // 
always @ * case (current_state) NO_KEY_PRESSED :  //if (row != 4'hF) next_state = SCAN_COL0; else next_state = NO_KEY_PRESSED; SCAN_COL0 :                         // if (row != 4'hF) next_state = KEY_PRESSED; else next_state = SCAN_COL1; SCAN_COL1 :                         // if (row != 4'hF) next_state = KEY_PRESSED; else next_state = SCAN_COL2;     SCAN_COL2 :                         // if (row != 4'hF) next_state = KEY_PRESSED; else next_state = SCAN_COL3; SCAN_COL3 :                         //if (row != 4'hF) next_state = KEY_PRESSED; else next_state = NO_KEY_PRESSED; KEY_PRESSED :                       // if (row != 4'hF) next_state = KEY_PRESSED; else next_state = NO_KEY_PRESSED;                 endcase 
reg [3:0] col_val, row_val;             // always @ (posedge key_clk, negedge rst) if (!rst) begin col<= 4'h0; keyint<=0; end else case (next_state) NO_KEY_PRESSED :                  // begin col <= 4'h0; keyint <= 0;       // end SCAN_COL0 :                       // col <= 4'b1110; SCAN_COL1 :                       col <= 4'b1101; SCAN_COL2 :                       // col <= 4'b1011; SCAN_COL3 :                       // col <= 4'b0111; KEY_PRESSED :                     // begin col_val<= col;        // 得到列的值row_val<= row;        // 得到行的值keyint <= 1;          // end endcase always @ (posedge key_clk, negedge rst) if (!rst) keydata <= 16'h0000; else if (keyint) case ({col_val, row_val}) 8'b1110_1110 : keydata <= 8'd0; 8'b1110_1101 : keydata <= 8'd4; 8'b1110_1011 : keydata <= 8'd8;  8'b1110_0111 : keydata <= 8'd12;  8'b1101_1110 : keydata <= 8'd1;8'b1101_1101 : keydata <= 8'd5;8'b1101_1011 : keydata <= 8'd9; 8'b1101_0111 : keydata <= 8'd13;8'b1011_1110 : keydata <= 8'd2; 8'b1011_1101 : keydata <= 8'd6;  8'b1011_1011 : keydata <= 8'd10; 8'b1011_0111 : keydata <= 8'd14; 8'b0111_1110 : keydata <= 8'd3;8'b0111_1101 : keydata <= 8'd7;8'b0111_1011 : keydata <= 8'd11;8'b0111_0111 : keydata <= 8'd15; default:  keydata <= keydata;        endcase elsekeydata <= keydata; 
endmodule

2.数码管原理

数码管分为共阴和共阳两种,通过选择器的高低电平去判断选取到的位数,所以数码管的读取是先选择位,然后再去显示亮的灯。下图所示,下面的74HC573芯片是用于选位处理的(这个芯片必须接地或者接上低电平才可以正常工作),由于上面的U1也是同一个芯片,所以这里先进行选位的时候要先把上面的那个芯片锁住,然后下面的芯片工作,这里我们不难看出这是一个共阴的数码管,每一个数码管的选位都是连接到阴极处的(接地),所以选位的时候只需要去进行连接到地,那就可以实现通电。选完位之后,就开始选段,所以这时候要锁住下面的芯片,让上面的工作,这个数码管的选段是根据某一个段通入高电平才会亮,其每一段对应的电平位置是 hgfedcba,比如通入8个电平: 0000 0110,那么就会显示数字1,因为只有b和c段亮了。

数码管生成器模拟软件:数码管 代码 生成器 (treee.com.cn)

Verilog代码(获取到相对于的数字,然后在数码管静态显示出来): 


module seg(clk, data, sel_lock, seg_lock, gpio);	
input clk;			
input[3:0] data;
output reg sel_lock;
output reg seg_lock;
output [7:0] gpio;		reg [7:0] gpio;	
reg [3:0] disp_dat;		
reg  cnt;			
reg [12:0] cnt2;always@(posedge clk)		//cnt2 = cnt2 + 1;//if (cnt <1000)begincnt = cnt + 1;	cnt2 = cnt2 + 1;if (cnt)beginsel_lock = 1'b1;seg_lock = 1'b0;		gpio = 8'h00;#20 sel_lock = 1'b0;seg_lock = 1'b1;	endelsebeginsel_lock = 1'b0;seg_lock = 1'b1;		disp_dat = data;		case(disp_dat)		4'h0:gpio=8'h3f;	//0 0011 11114'h1:gpio=8'h06;	//1 0000 01104'h2:gpio=8'h5b;	//24'h3:gpio=8'h4f;	//34'h4:gpio=8'h66;	//44'h5:gpio=8'h6d;	//54'h6:gpio=8'h7d;	//64'h7:gpio=8'h07;	//74'h8:gpio=8'h7f;	//84'h9:gpio=8'h6f;	//94'ha:gpio=8'h77;	//a4'hb:gpio=8'h7c;	//b4'hc:gpio=8'h39;	//c4'hd:gpio=8'h5e;	//d4'he:gpio=8'h79;	//e4'hf:gpio=8'h71;	//fendcase#20 sel_lock = 1'b1;seg_lock = 1'b0;end
end
endmodule	

3.分频器代码

module divclk(inclk,outclk);input inclk;output outclk;reg outclk;reg [16:0] cnt;initialbegincnt=0;outclk=0;endalways @(posedge inclk)begincnt=cnt+1;if (cnt==0)outclk = outclk+1;end
endmodule 

 4.电路图连接

写好了Verilog代码,就进行分析错误,分析无误后,我们就对这些代码生成子模块文件。然后就创建block文件开始连接电路图,电路图以及管脚配置如下:

 5.文件烧录

弄好了之后就是最后一步操作了,把没用到的管脚设置三态,然后烧录文件。

点击Assignment, Device

然后点击这里,设置管脚。 

 选择第一个就行了,就是把多余的管脚设置三态。

 然后就是编译运行文件,运行无误。

点击此处,烧录文件。 

 

这里我们会看到,下面有一个芯片,这个也就是我们写好了的sof文件,然后就是通过你的电脑接口去连接到开发板,如果你看到上面有一个No Hardware的时候,你点击旁边的按钮进行接口设置,设置为USB接口即可(USB线连接了你的开发板就会自动显示出来的)。最后点击start就可以进行烧录了。 

以上就是本期的全部内容了,我们下一次见!

分享一张壁纸:

相关文章:

  • LeetCode27.移除元素(暴力法、快慢指针法)
  • ROS 学习应用篇(八)ROS中的坐标变换管理之tf广播与监听的编程实现
  • Scalable Exact Inference in Multi-Output Gaussian Processes
  • 设计模式 -- 适配器模式(Adapter Pattern)
  • C/C++轻量级并发TCP服务器框架Zinx-框架开发002: 定义通道抽象类
  • Flume的安装部署及常见问题解决
  • 2023最新最全【Nacos】零基础安装教程
  • 核—幂零分解
  • [Linux版本Debian系统]安装cuda 和对应的cudnn以cuda 12.0为例
  • dropout层加在哪里
  • 下海建龙宫
  • 轻量级 Java 日志组件
  • 大模型的语言能力
  • 俄罗斯成为印度的第二大进口国,柯桥外贸俄语培训
  • 提升 Python 执行速度:Codon、C/C++、Rust、Numba(JIT)、Taichi、Nuitka、MatxScript
  • 2017年终总结、随想
  • Angular 2 DI - IoC DI - 1
  • CoolViewPager:即刻刷新,自定义边缘效果颜色,双向自动循环,内置垂直切换效果,想要的都在这里...
  • iOS | NSProxy
  • JAVA 学习IO流
  • js递归,无限分级树形折叠菜单
  • Map集合、散列表、红黑树介绍
  • Wamp集成环境 添加PHP的新版本
  • 基于阿里云移动推送的移动应用推送模式最佳实践
  • 浅谈Kotlin实战篇之自定义View图片圆角简单应用(一)
  • 使用 Xcode 的 Target 区分开发和生产环境
  • 通过来模仿稀土掘金个人页面的布局来学习使用CoordinatorLayout
  • 正则表达式小结
  • 国内唯一,阿里云入选全球区块链云服务报告,领先AWS、Google ...
  • 如何用纯 CSS 创作一个货车 loader
  • ​软考-高级-系统架构设计师教程(清华第2版)【第9章 软件可靠性基础知识(P320~344)-思维导图】​
  • #NOIP 2014# day.1 生活大爆炸版 石头剪刀布
  • #QT(一种朴素的计算器实现方法)
  • (Redis使用系列) Springboot 实现Redis消息的订阅与分布 四
  • (附源码)springboot美食分享系统 毕业设计 612231
  • (附源码)计算机毕业设计SSM保险客户管理系统
  • (六) ES6 新特性 —— 迭代器(iterator)
  • (论文阅读26/100)Weakly-supervised learning with convolutional neural networks
  • (三)centos7案例实战—vmware虚拟机硬盘挂载与卸载
  • (生成器)yield与(迭代器)generator
  • (十二)python网络爬虫(理论+实战)——实战:使用BeautfulSoup解析baidu热搜新闻数据
  • (十五)Flask覆写wsgi_app函数实现自定义中间件
  • (原創) 是否该学PetShop将Model和BLL分开? (.NET) (N-Tier) (PetShop) (OO)
  • ***检测工具之RKHunter AIDE
  • ../depcomp: line 571: exec: g++: not found
  • .net core webapi Startup 注入ConfigurePrimaryHttpMessageHandler
  • .net core 连接数据库,通过数据库生成Modell
  • .NET core 自定义过滤器 Filter 实现webapi RestFul 统一接口数据返回格式
  • .NET Core实战项目之CMS 第一章 入门篇-开篇及总体规划
  • .NET Framework杂记
  • .net 设置默认首页
  • .NET/C# 解压 Zip 文件时出现异常:System.IO.InvalidDataException: 找不到中央目录结尾记录。
  • @column注解_MyBatis注解开发 -MyBatis(15)
  • @SuppressLint(NewApi)和@TargetApi()的区别
  • [ 网络基础篇 ] MAP 迈普交换机常用命令详解