当前位置: 首页 > news >正文

FPGA学习_Xilinx7系列FPGA基本结构

文章目录

  • 前言
  • 一、7系列FPGA介绍
    • 1.1、芯片编号
  • 二、基本组成单元
    • 2.1、可编程逻辑块CLB(Configable Logic Block)
    • 2.2、可编程输入输出单元(IOB)
    • 2.3、嵌入式块RAM(Block RAM)
    • 2.4、底层内嵌功能单元
    • 2.5、内嵌专用硬核
    • 2.6、布线资源


前言

一、7系列FPGA介绍

参考:https://xilinx.eetrend.com/content/2019/100042384.html
xilinx7系列FPGA主要包括:Spartan®-7、Artix®-7、Kintex®-7、Virtex®-7。其性能/密度/价格也随着系列的不同而提升。
Spartan7系列拥有最低的价格、最低的功耗、最小的尺寸以及最低的设计难度,一些低端应用中极为合适。
Artix7系列相对Spartan7系列则增加了串行收发器和DSP功能,其逻辑容量也更大,适合逻辑一些稍微复杂的中低端应用。
Kintex7系列是在所有系列中拥有最佳的性价比,无论是硬核数量还是逻辑容量,都能满足中低端、以及部分高端应用需求。
Virtex7系列则是7系列中的大佬,只在高端应用中使用,在中低端应用就如同高射炮打蚊子,大材小用
四者对比:
Virtex系列的器件不仅代表着Xilinx的最高水准,往往也是整个FPGA业界最好的器件。这个系列的器件一般应用于高速联网(10G~100G)、便携雷达和ASIC原型验证等领域,这些领域的特点是对资源数量和性能要求高,但是对功耗和成本不怎么敏感。
Kintex系列器件相对于Virtex系列器件在成本和功耗上做出了一定程度的让步,在成本、性能和功耗之间达成了一个相对比较好的平衡,但是成本依旧比较高。Kintex器件一般应用于3G/4G无线通信、显示和Video IO Over等领域。
Artix系列器件在尽可能不降低性能和资源数量的情况下大幅降低了器件成本,国内医疗设备和军工行业用这个系列器件用的比较多。
Spartan系列器件的性能和资源数量都远不如前面三个系列,但是胜在价格便宜,所以这个系列的器件在消费电子、汽车电子和工业领域的应用相对比较广泛。值得一提的是,Spartan系列器件的性能要比友商Altera的Cyclone系列(跟Spartan对标)高出不少,比如说,Spartan6是支持DDR3的,但是在其之后很晚才面试的Cyclone10全系类都不支持DDR3。

1.1、芯片编号

在这里插入图片描述
从上图中可以看出,除Spartan系列器件的厂商编号是由十个字段组成之外,其余三个系列的厂商编号都由9个字段组成。
四个系列器件的左数第一段编码都是"XC",这俩字符表示该器件是Xilinx生产的商业级器件,另外还有军品级和宇航级,不过这俩等级国内不太可能通过正规渠道购买;
左数第二个字段代表该器件属于Xilinx的第几代器件,7系列的这个字段都是7;
左数第三个字段代表该器件属于哪个族,S代表Spartan、A代表Artix、K代表Kintex、V代表Virtex;
左数第四个字段,实际器件上印的是一位或多位阿拉伯数字,这个数字乘以1000就是该器件大致的资源数量,只是大致,并不准确;
左数第五个字段用于表示该器件的速度等级,-3最高,-1最低,带L的表示该器件是低功耗器件(低功耗器件的供电电压比普通器件更低)。这个参数是厂商对芯片测试筛选后印到芯片上的,是一个经验值。该值越大,表示Block RAM和高速IO等资源的最大时钟越高,因此在进行设计时需要慎重考虑该数值。
接下来,Spartan器件的第六到九四个字段和其余三个族的器件的第六到八个字段是该器件的封装信息,这几个字段里最后一个字段的数字代表该器件的引脚总数(跟用户引脚数有区别)
最后一个字段表示该器件的温度等级,国内比较常见的是C和I;C代表商业级(Commercial),可以在0℃到85℃下正常运行;I代表工业级(Industrial),可以在-40℃到100℃下正常运行。

二、基本组成单元

图片源自https://xilinx.eetrend.com/blog/2020/100047069.html
在这里插入图片描述

2.1、可编程逻辑块CLB(Configable Logic Block)

一个CLB由俩个SLICE构成,SLICE可分为SLICEL(L:logic,只能在 LUT 中实现逻辑功能)SLICEM(M:memory,能够在 LUT 中实现逻辑、移位寄存器和存储器功能,可以被综合为分布式RAM),因此CLB可分为CLBLL和CLBLM俩类。具有存储器功能的 SLICEM在 DSP Slice 的列附近最为普遍,为设计人员提供接近所需位置的系数存储。 Xilinx 设计工具全面了解资源的相对布局,并以最有效的方式智能、自动地将设计映射到资源,同时遵守用户指定的任何约束

在这里插入图片描述
在这里插入图片描述
SLICE主要由4个6输入查找表(LUT6)和8个寄存器(Register,也就是D触发器)组成,此外还有进位链CARRY4(用来实现最基本的加、减法运算的)和MUX选择器

  • 查找表完成纯组合逻辑功能。
  • 寄存器可配置为带同步/异步复位和置位、时钟使能的触发器,也可以配置成为锁存器。
  • FPGA一般依赖寄存器完成同步时序逻辑设计。学习底层配置单元的LUT和Register比率的重要意义在于器件选型和规模估算。
    FPGA中组合逻辑全部使用查找表LUT实现,此外,查找表也可用来作为分布式存储使用。

2.2、可编程输入输出单元(IOB)

  • 可编程输入/输出单元是芯片与外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配要求。FPGA内部的I/O按组分类,每组都能够独立地支持不同的I/O标准。通过软件的灵活配置,可适应不同的电气标准与I/O物理特性,可以调整匹配阻抗特性,可以改变上、下拉电阻,可以调整驱动电流的大小。
  • 外部输入信号可以通过IOB模块的存储单元输入到FPGA的内部,也可以直接输入FPGA内部。当外部输入信号经过IOB模块的存储单元输入到FPGA内部时,其保持时间(Hold Time)的要求可以降低,通常默认为0。
  • 为了便于管理和适应多种电器标准,FPGA的IOB被划分为若干个组(bank),每个bank的接口标准由其接口电压VCCO决定,一个bank只能有一种VCCO,但不同bank的VCCO可以不同。只有相同电气标准的端口才能连接在一起,VCCO电压相同是接口标准的基本条件。

2.3、嵌入式块RAM(Block RAM)

目前大多数FPGA都有内嵌的块RAM。嵌入式块RAM可被配置为单端口RAM、双端口RAM、内容地址存储器(CAM)以及FIFO等常用存储结构。CAM,即为内容地址存储器。写入CAM的数据会和其内部存储的每一个数据进行比较,并返回与端口数据相同的所有内部数据的地址。简单的说,RAM是一种写地址,读数据的存储单元;CAM与RAM恰恰相反。除了块RAM,Xilinx的FPGA还可以灵活地将LUT配置成RAM、ROM、FIFO等存储结构(即DRAM,分布式RAM)。尽管BRAM的功能更多,但是对于一些小规模的数据存储,分布式RAM可能获得比BRAM更好的性能

2.4、底层内嵌功能单元

底层嵌入功能单元是指通用程度较高的嵌入式功能模块。如锁相环(Phase Locked Loop,PLL)、DSP(Digital Signal Processing)和CPU等。

2.5、内嵌专用硬核

与“底层嵌入单元”是有区别的,这里指的硬核主要是那些通用性相对较弱,不是所有FPGA器件都包含的硬核。
内嵌专用硬核是相对底层嵌入的软核而言的,指FPGA处理能力强大的硬核(Hard Core),等效于ASIC电路。为了提高FPGA性能,芯片生产商在芯片内部集成了一些专用的硬核。例如:为了提高FPGA的乘法速度,主流的FPGA中都集成了专用乘法器;为了适用通信总线与接口标准,很多高端的FPGA内部都集成了串并收发器(SERDES),可以达到数十Gbps的收发速度。
Xilinx公司的高端产品不仅集成了ARM,还内嵌了DSP Core模块,并提出MPSoC、RFSoC等概念。

2.6、布线资源

布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。根据工艺、长度、宽度和分布位置的不同而划分为4类不同的类别:

  • 全局性的专用布线资源:用以完成芯片内部全局时钟和全局复位/置位的布线;
  • 长线资源:用以完成器件Bank间的一些高速信号和一些第二全局时钟信号的布线;
  • 短线资源:用来完成基本逻辑单元间的逻辑互连与布线;
  • 其他:在逻辑单元内部还有着各种布线资源和专用时钟、复位等控制信号线。
    由于在设计过程中,往往由布局布线器自动根据输入的逻辑网表的拓扑结构和约束条件选择可用的布线资源连通所用的底层单元模块,所以常常忽略布线资源。其实布线资源的优化与使用和实现结果有直接关系。

相关文章:

  • Python文件
  • llama笔记:官方示例解析 example_chat_completion.py
  • Ubuntu18.04桌面版设置静态IP地址
  • 如何使用人工智能打造超用户预期的个性化购物体验
  • 流畅的 Python 第二版(GPT 重译)(十三)
  • 哔哩哔哩后端Java一面
  • C语言中的联合和枚举(未完)
  • python爬虫基础实验:通过DBLP数据库获取数据挖掘顶会KDD在2023年的论文收录和相关作者信息
  • #微信小程序:微信小程序常见的配置传旨
  • 跨越时空的纽带:探索Facebook如何连接人与人
  • Lambda函数与Selenium WebDriverWait类一起使用
  • C++11 新特性:常量表达式 constexpr(下)
  • 【算法】雪花算法生成分布式 ID
  • C语言-结构体-015
  • 设计模式总结(四)
  • [笔记] php常见简单功能及函数
  • 【划重点】MySQL技术内幕:InnoDB存储引擎
  • CentOS从零开始部署Nodejs项目
  • Codepen 每日精选(2018-3-25)
  • maya建模与骨骼动画快速实现人工鱼
  • python学习笔记 - ThreadLocal
  • rc-form之最单纯情况
  • Traffic-Sign Detection and Classification in the Wild 论文笔记
  • vue的全局变量和全局拦截请求器
  • 闭包,sync使用细节
  • 对象引论
  • 关键词挖掘技术哪家强(一)基于node.js技术开发一个关键字查询工具
  • 关于Java中分层中遇到的一些问题
  • 机器学习 vs. 深度学习
  • 简单数学运算程序(不定期更新)
  • 使用parted解决大于2T的磁盘分区
  • 世界编程语言排行榜2008年06月(ActionScript 挺进20强)
  • 用element的upload组件实现多图片上传和压缩
  • #define
  • #QT(TCP网络编程-服务端)
  • ${factoryList }后面有空格不影响
  • (C语言)输入一个序列,判断是否为奇偶交叉数
  • (TOJ2804)Even? Odd?
  • (附源码)spring boot车辆管理系统 毕业设计 031034
  • (六) ES6 新特性 —— 迭代器(iterator)
  • (三)mysql_MYSQL(三)
  • (转)程序员技术练级攻略
  • (转)如何上传第三方jar包至Maven私服让maven项目可以使用第三方jar包
  • .bat批处理(四):路径相关%cd%和%~dp0的区别
  • .bat批处理(一):@echo off
  • .NET Core引入性能分析引导优化
  • .net 发送邮件
  • .NetCore项目nginx发布
  • .net使用excel的cells对象没有value方法——学习.net的Excel工作表问题
  • .NET学习全景图
  • /3GB和/USERVA开关
  • @RequestMapping-占位符映射
  • [ Linux ] Linux信号概述 信号的产生
  • [ web基础篇 ] Burp Suite 爆破 Basic 认证密码
  • [2010-8-30]