当前位置: 首页 > news >正文

解析capl文件生成XML Test Module对应的xml工具

之前一直用的CAPL Test Module来写代码,所有的控制都是在MainTest()函数来实现的,但是有一次,代码都写完了,突然需要用xml的这种方式来实现,很突然,之前也没研究过,整理这个xml整的一身汗,还频繁出现错误(烦死了!!!!)。唉,所以做了这个工具,你们有其他的方式可以和我说下,我没见过(原谅我的见识浅薄)

工具展示

在这里插入图片描述
对他就长这样,简单朴素吧。

操作方式

1:打开工具–>点击选择文件
在这里插入图片描述
2:选择要解析的.can或者.cin文件。我建议,所有的测试case放到同一个文件里,选择完成,这里会显示选择的文件信息
在这里插入图片描述
3:点击 输出XML
在这里插入图片描述
4:然后选择要xml文件生成的路径,选完等着就行了,等到框里出现完成以及生成路径就代表成功了。
在这里插入图片描述

5:如果第4步选择的路径存在已经生成的文件,会提示,看情况选择
在这里插入图片描述
6:生成完会在所选路径上出现以 testcase.xml 名字的文件
在这里插入图片描述
7:给他导入到对应的工程里面就可以了
在这里插入图片描述

其他功能介绍

1:我的博客,点击这里会进入我的博客主页,可以选择对应感兴趣的工具进行更新或下载
在这里插入图片描述
2:更多->作者信息:有作者邮箱及版本信息,可以通过邮箱联系作者
在这里插入图片描述
3:更多->打赏:可以给作者一些鼓励,让作者更好的维护软件。(看能力而为,一毛两毛不嫌少)
在这里插入图片描述

注意事项

/// <hhhhhh/aaaaa>
testcase _1_1_5_Test_Case_Automated_Test_Case__Flow_Control_Timeout_physical_addressing___Default_session()
{int i=0;TestInit();startLogName("_1_1_5_Test_Case_Automated_Test_Case__Flow_Control_Timeout_physical_addressing___Default_session");TestEnd();
}

以上面的代码举例子
1:/// <hhhhhh/aaaaa>
这个是代表testcase在一个组里面,后面切记跟testcase **()的测试用例,不要把函数放到要选择的文件里,只放测试用例,省得出现错误
2:不要定义///这种的注释
3:这个工具是按照testcase 来判断函数的,代码里面不要出现testcase 这种的定义及引用
4:其他的想起来再说,或者你们用的时候出现神问题告诉我

视频

testcae xml生成

工具链接

链接:https://pan.baidu.com/s/1Dgb3SUuKKzAK28Hbv5Nc9w?pwd=cglx
提取码:cglx

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • Python面试题:结合Python技术,讲解如何使用OpenCV进行图像处理与计算机视觉任务
  • 平安养老险陕西分公司:反保险欺诈案例(二)——打击保险欺诈,守护金融安全
  • 跨境电商做独立站你需要考虑的几个问题
  • 在线考试系统产品源码功能架构与技术解析
  • IDEA报错无效的目标发行版:17
  • c#中的正则表达式和日期的使用(超全)
  • spring的三级缓存与源码分析--解决循环依赖
  • 内衣洗衣机怎么选?五款超耐用内衣洗衣机推荐!
  • 金牌挑战——奥运知识大比拼
  • 【C语言】程序环境,预处理,编译,汇编,链接详细介绍,其中预处理阶段重点讲解
  • 全球汽车用MEMS加速度计市场规划预测:未来六年CAGR为2.8%
  • STM32ADC
  • Java中的抽象类和接口区别
  • TypeScript函数类型:提升函数的类型安全性和可读性
  • 2024年厦门市大数据创新应用大赛重磅开赛,邀您来战!
  • 分享的文章《人生如棋》
  • Android优雅地处理按钮重复点击
  • JAVA多线程机制解析-volatilesynchronized
  • oschina
  • Promise面试题2实现异步串行执行
  • select2 取值 遍历 设置默认值
  • SQL 难点解决:记录的引用
  • 阿里研究院入选中国企业智库系统影响力榜
  • 初识MongoDB分片
  • 复杂数据处理
  • 技术胖1-4季视频复习— (看视频笔记)
  • 京东美团研发面经
  • 开年巨制!千人千面回放技术让你“看到”Flutter用户侧问题
  • 写代码的正确姿势
  • 在electron中实现跨域请求,无需更改服务器端设置
  • Unity3D - 异步加载游戏场景与异步加载游戏资源进度条 ...
  • ​VRRP 虚拟路由冗余协议(华为)
  • ​软考-高级-系统架构设计师教程(清华第2版)【第15章 面向服务架构设计理论与实践(P527~554)-思维导图】​
  • # 透过事物看本质的能力怎么培养?
  • #define用法
  • #laravel部署安装报错loadFactoriesFrom是undefined method #
  • #Linux(权限管理)
  • #LLM入门|Prompt#1.7_文本拓展_Expanding
  • $Django python中使用redis, django中使用(封装了),redis开启事务(管道)
  • (02)Hive SQL编译成MapReduce任务的过程
  • (39)STM32——FLASH闪存
  • (TipsTricks)用客户端模板精简JavaScript代码
  • (二)正点原子I.MX6ULL u-boot移植
  • (附源码)springboot 房产中介系统 毕业设计 312341
  • (七)MySQL是如何将LRU链表的使用性能优化到极致的?
  • (三)mysql_MYSQL(三)
  • (原創) 如何將struct塞進vector? (C/C++) (STL)
  • .dwp和.webpart的区别
  • .NET C#版本和.NET版本以及VS版本的对应关系
  • .net CHARTING图表控件下载地址
  • .NET MVC、 WebAPI、 WebService【ws】、NVVM、WCF、Remoting
  • .Net--CLS,CTS,CLI,BCL,FCL
  • .Net开发笔记(二十)创建一个需要授权的第三方组件
  • @RequestBody与@ModelAttribute
  • [] 与 [[]], -gt 与 > 的比较