当前位置: 首页 > news >正文

【Java】并发集合

并发集合(java.util.concurrent)

一、List

CopyOnWriteArrayList(ReentrantLock实现线程安全)

(1)并发修改(操作)时保证线程安全:

                通过ReentrantLock实现多个线程并发修改时的线程安全同步(添加元素的同时,不允许删除)

                添加新元素:list.add("")

                按照指定下标替换元素:list.set(index, element)

                按照指定下标删除元素:list.remove(0)

(2)并发读取:

                没有加锁,允许多个线程同时并发读取;但是读取时,可能产生脏读(读取的同时,允许写入操作)。

(3)CopyOnWrite思想:修改时将原数组内容复制Copy到新数组内,在新数组内修改,然后替换

二、Set

CopyOnWriteArraySet(ReentrantLock实现线程安全)

        内部通过一个CopyOnWriteArrayList实现。

三、Queue

BlockingQueue阻塞队列(ReentrantLock实现线程安全)

        阻塞队列:由两个线程,分别进行读写(takeput)操作;读取时,不允许写入,如果队列为空,则读取线程阻塞;写入时,不允许读取,如果队列已满,则写入线程阻塞。

        ArrayBlockingQueue:有界队列

        LinkedBlockingQueue:无界队列

         应用:生产者-消费者模型

// 生产者-消费者模型
public class Test01 {public static void main(String[] args) {// 自增ID (原子性)AtomicInteger number = new AtomicInteger(1);// 保存生产者数据的阻塞队列LinkedBlockingQueue<String> queue = new LinkedBlockingQueue<>();  // LinkedBlockingQueue<>(3) 阻塞队列最多保存3个生产者数据// 创建线程池ThreadPoolExecutor executor = new ThreadPoolExecutor(6, 6, 0, TimeUnit.SECONDS,new LinkedBlockingQueue<Runnable>());// 1个生产者线程executor.execute(() -> {// 生产10个数据for (int i = 1; i <= 10; i++) {String data = "数据" + number.getAndIncrement();System.out.println("【生产者】生成:" + data);try {// 保存至阻塞队列queue.put(data);  // 入队// 模拟延迟Thread.sleep(1000);} catch (InterruptedException e) {e.printStackTrace();}}});// 5个消费者线程for (int i = 1; i <= 5; i++) {executor.execute(() -> {while (true){try {String data = queue.take();System.out.println("【消费" + Thread.currentThread().getName() + "】获取:" + data);} catch (InterruptedException e) {e.printStackTrace();}}});}}
}

四、Map

ConcurrentHashMap

(1)JDK1.7:通过分段锁实现线程安全

(2)JDK1.8:通过 synchronized+CAS实现线程安全

                当产生哈希冲突时,通过synchronized将根节点(链表头节点或树根节点)作为锁,进行线程的同步安全;

                在没有产生哈希冲突时,通过CAS进行无锁化操作,降低synchronized进行线程同步操作所引发的性能下降。

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • 【小波去噪】【matlab】基于小波分析的一维信号滤波(对照组:中值滤波、均值滤波、高斯滤波)
  • Linux 信号的产生
  • 同为TVT设备主动注册协议接入SVMSPro平台
  • 电子看板实时监控数据可视化助力工厂精细化管理
  • 【CTF Reverse】XCTF GFSJ1101 Mine- Writeup(反编译+动态调试+Base58编码)
  • go多线程
  • SysML图例-制药
  • 算法.图论-并查集上
  • 一款全看个人造化的Windows命令行软件下载安装管理器:Scoop
  • Revit学习记录-版本2018【持续补充】
  • python SQLAlchemy 数据库连接池
  • Robot Operating System——32 位浮点数表示的三维空间中一个点
  • 鸿蒙逐渐成为全球操作系统领域的重要一员
  • 为什么 Feign 要用 HTTP 而不是 RPC?
  • DEPLOT: One-shot visual language reasoning by plot-to-table translation论文阅读
  • [deviceone开发]-do_Webview的基本示例
  • Android Volley源码解析
  • CSS实用技巧
  • es6(二):字符串的扩展
  • HashMap ConcurrentHashMap
  • IDEA常用插件整理
  • scrapy学习之路4(itemloder的使用)
  • SOFAMosn配置模型
  • SpiderData 2019年2月25日 DApp数据排行榜
  • SQLServer插入数据
  • v-if和v-for连用出现的问题
  • 讲清楚之javascript作用域
  • 坑!为什么View.startAnimation不起作用?
  • 来,膜拜下android roadmap,强大的执行力
  • 如何将自己的网站分享到QQ空间,微信,微博等等
  • 使用parted解决大于2T的磁盘分区
  • 使用putty远程连接linux
  • 使用阿里云发布分布式网站,开发时候应该注意什么?
  • 问题之ssh中Host key verification failed的解决
  • 延迟脚本的方式
  • 优化 Vue 项目编译文件大小
  • Oracle Portal 11g Diagnostics using Remote Diagnostic Agent (RDA) [ID 1059805.
  • 1.Ext JS 建立web开发工程
  • 3月7日云栖精选夜读 | RSA 2019安全大会:企业资产管理成行业新风向标,云上安全占绝对优势 ...
  • 策略 : 一文教你成为人工智能(AI)领域专家
  • ​Z时代时尚SUV新宠:起亚赛图斯值不值得年轻人买?
  • # Swust 12th acm 邀请赛# [ K ] 三角形判定 [题解]
  • #100天计划# 2013年9月29日
  • #vue3 实现前端下载excel文件模板功能
  • (17)Hive ——MR任务的map与reduce个数由什么决定?
  • (175)FPGA门控时钟技术
  • (2)nginx 安装、启停
  • (2)STM32单片机上位机
  • (7)svelte 教程: Props(属性)
  • (8)STL算法之替换
  • (day 2)JavaScript学习笔记(基础之变量、常量和注释)
  • (差分)胡桃爱原石
  • (二)fiber的基本认识
  • (算法)前K大的和
  • (五)MySQL的备份及恢复