当前位置: 首页 > news >正文

FPGA上板项目(三)——RAM测试

目录

  • 实验内容
  • 实验原理
  • 实验步骤
  • 实验用时序波形
  • HDL 代码
  • 仿真
  • 综合实现
  • 上板测试


实验内容

对 FPGA 内部的 RAM 进行数据读写操作。

实验原理

RAM (Random Access Memory),是可以进行数据交换的存储器,可读可写;而 ROM(Read-Only Memory)为只读存储器,只可读取不可写入。

实验步骤

  • 添加 Block Memory Generator IP核,选择 Simple Dual Port RAM,则代表使用两个独立端口,Port A 作为输入,Port B 作为输出。
    在这里插入图片描述
  • 关于 Port A 的设置:Width 代表数据位宽,Depth 代表可以存放的数据个数。
    在这里插入图片描述
  • 关于 Port B 的设置:Width 和 Depth 与端口A保持一致,勾选 Primitives Output Register 会在输出数据后加一级寄存器,输出会落后地址两个时钟,取消勾选则落后一个时钟。
    在这里插入图片描述
  • RAM 模块端口定义
信号名称方向说明
clkain端口A的时钟
weain端口A的写使能
addrain端口A的地址输入
dinain端口A的数据输入
clkbin端口B的时钟
addrbin端口B的地址输入
doutbout端口B的数据输出
  • 写时序图:在 wea 为高,同时 clka 为上升沿时,将数据 dina 写入地址 addra 中。

在这里插入图片描述

  • 读时序图:clkb 的上升沿时刻读取地址 addrb,并输出该地址对应的数据 doutb。由于是流水线作业,从时序上看,输出的数据 doutb 落后地址 addrb 一个时钟周期。

在这里插入图片描述

实验用时序波形

在这里插入图片描述

时序图说明:

  • wea 置高时,DINA、ADDRA 开始变化,从而开始写入数据,地址为 0 - 511,数据为 10 - 521。
  • 写入后的下一时刻便开始读取数据,地址从 0 - 511。

HDL 代码

module RAM#(parameter DATA_DEPTH = 'd512
)(input wire sys_clk_p,input wire sys_clk_n,input wire rst          // 同步低复位
);/**********************************************
*********** IBUFDS 原语
**********************************************/IBUFDS IBUFDS_inst(.O(sys_clk),.I(sys_clk_p),.IB(sys_clk_n));/**********************************************
*********** 实例化 RAM
**********************************************/reg wea;reg [8:0] addra;reg [8:0] addrb;reg [31:0] dina;wire [31:0] doutb;ram_ip inst_ram (.clka(sys_clk),    // input wire clka.wea(wea),      // input wire [0 : 0] wea.addra(addra),  // input wire [8 : 0] addra.dina(dina),    // input wire [31 : 0] dina.clkb(sys_clk),    // input wire clkb.addrb(addrb),  // input wire [8 : 0] addrb.doutb(doutb)  // output wire [31 : 0] doutb);/**********************************************
*********** 输入端信号赋值
**********************************************/// 赋值wea信号always@(posedge sys_clk) beginif(!rst) beginwea <= 1'b0;endelse if(addra == DATA_DEPTH - 1) beginwea <= 1'b0;endelse beginwea <= 1'b1;endend// 赋值dina信号always@(posedge sys_clk) beginif(!rst) begindina <= 32'd10;endelse if(dina >= DATA_DEPTH + 32'd9) begindina <= DATA_DEPTH + 32'd9;endelse if(wea) begindina <= dina + 32'd1;endend// 赋值addra信号always@(posedge sys_clk) beginif(!rst) beginaddra <= 9'd0;endelse if(addra >= DATA_DEPTH - 9'd1) beginaddra <= DATA_DEPTH - 32'd1;endelse if(wea) beginaddra <= addra + 9'd1;endend/**********************************************
*********** 输出端信号赋值
**********************************************/// 赋值addrb信号always@(posedge sys_clk) beginif(!rst) beginaddrb <= 9'd0;endelse beginaddrb <= addra;endend/**********************************************
*********** 实例 ILA
**********************************************/ila_0 inst_ila(.clk(sys_clk), // input wire clk.probe0(wea), // input wire [0:0]  probe0  .probe1(addrb), // input wire [8:0]  probe1 .probe2(doutb) // input wire [31:0]  probe2);
endmodule

仿真

  • testbench 代码
module tb_RAM#(parameter DATA_DEPTH = 'd512
)();
/**********************************************
*********** 实例化模块
**********************************************/reg sys_clk_p;wire sys_clk_n;reg rst;RAM #(.DATA_DEPTH(DATA_DEPTH))tb_RAM(.sys_clk_p(sys_clk_p),.sys_clk_n(sys_clk_n),.rst(rst));/**********************************************
*********** 初始化 clk、rst
**********************************************/// 初始化 clkassign sys_clk_n = ~sys_clk_p;initial beginsys_clk_p = 1;forever #2.5 sys_clk_p = ~sys_clk_p;   end// 初始化 rstinitial beginrst = 0;#102.5;rst = 1;endendmodule
  • 仿真结果:

在这里插入图片描述
在这里插入图片描述

综合实现

添加管脚和时序约束后,run synthesis 以及 run implementation,具体步骤可参考:FPGA上板项目(一)——点灯熟悉完整开发流程、ILA在线调试

上板测试

上板测试结果如下:

在这里插入图片描述
在这里插入图片描述

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • 一文认识数据库事务(ACID)
  • 论文解读:Prompt-aligned Gradient for Prompt Tuning
  • Kafka配置文件 - server.properties
  • 履带式森林消防车的功能和应用_鼎跃安全
  • 【uniapp重大bug】uni-data-select的localdata改变,也会触发@change方法
  • JavaWeb——介绍(什么是Web、Web网站的开发模式)、初始Web前端(Web标准、学习内容)
  • 【C/C++】C语言中的内存分布
  • EmguCV学习笔记 VB.Net 7.2 特征点检测
  • 微服务CI/CD实践(四)Jenkins部署及环境配置
  • 「bug」nvitop ERROR: Failed to initialize curses
  • NLP从零开始------文本中阶序列处理之语言模型(完整版)
  • 网创教程自动采集wordpress插件子比主题
  • linux系统,ubuntu安装英伟达NVIDIA4090显卡驱动
  • DHCP服务异常与IP地址管理挑战
  • 我的docker随笔44:构建nginx镜像
  • 收藏网友的 源程序下载网
  • “Material Design”设计规范在 ComponentOne For WinForm 的全新尝试!
  • C++类中的特殊成员函数
  • CentOS 7 防火墙操作
  • CSS进阶篇--用CSS开启硬件加速来提高网站性能
  • docker python 配置
  • E-HPC支持多队列管理和自动伸缩
  • IE报vuex requires a Promise polyfill in this browser问题解决
  • JS专题之继承
  • maven工程打包jar以及java jar命令的classpath使用
  • Nginx 通过 Lua + Redis 实现动态封禁 IP
  • React-Native - 收藏集 - 掘金
  • swift基础之_对象 实例方法 对象方法。
  • 给自己的博客网站加上酷炫的初音未来音乐游戏?
  • 老板让我十分钟上手nx-admin
  • 猫头鹰的深夜翻译:Java 2D Graphics, 简单的仿射变换
  • 什么是Javascript函数节流?
  • 物联网链路协议
  • 要让cordova项目适配iphoneX + ios11.4,总共要几步?三步
  • 栈实现走出迷宫(C++)
  • 正则表达式小结
  • Java性能优化之JVM GC(垃圾回收机制)
  • scrapy中间件源码分析及常用中间件大全
  • 专访Pony.ai 楼天城:自动驾驶已经走过了“从0到1”,“规模”是行业的分水岭| 自动驾驶这十年 ...
  • ​Python 3 新特性:类型注解
  • ​Spring Boot 分片上传文件
  • # 消息中间件 RocketMQ 高级功能和源码分析(七)
  • #java学习笔记(面向对象)----(未完结)
  • #QT 笔记一
  • $.each()与$(selector).each()
  • (1)(1.11) SiK Radio v2(一)
  • (附源码)ssm考生评分系统 毕业设计 071114
  • (附源码)ssm跨平台教学系统 毕业设计 280843
  • (附源码)ssm失物招领系统 毕业设计 182317
  • (回溯) LeetCode 77. 组合
  • (每日一问)设计模式:设计模式的原则与分类——如何提升代码质量?
  • (七)Activiti-modeler中文支持
  • (十一)JAVA springboot ssm b2b2c多用户商城系统源码:服务网关Zuul高级篇
  • (一)Neo4j下载安装以及初次使用
  • (译) 函数式 JS #1:简介