当前位置: 首页 > news >正文

Verilog FPGA 仿真 控制任务

在Verilog仿真中,我们可以使用一些控制语句和系统任务来管理仿真过程。这些语句和任务可以帮助我们控制仿真的进行并输出必要的信息。

1. $stop:这是一个系统任务,用于停止运行仿真。在ModelSim中,可以继续仿真。

2. $stop(n):带参数的系统任务,根据参数的不同取值(0、1或2),输出相应的仿真信息。

3. $finish:结束运行仿真,不可继续仿真。

4. $finish(n):带参数的系统任务,根据参数的不同取值(0、1或2),输出相应的仿真信息。

5. $random:用于产生随机数。

6. $random % n:产生范围在-n到n之间的随机数。

7. {$random} % n:产生范围在0到n之间的随机数。

仿真终端显示描述:

在仿真过程中,我们可以通过一些系统任务来控制终端的显示,以便查看仿真结果和变量的变化。

1. $monitor:这是一个系统任务,用于在终端显示仿真过程中的变量。

2. $display:用于在终端打印字符串,显示仿真结果等。

3. $time:返回64位整型时间。

4. $stime:返回32位整型时间。

5. $realtime:实现实型模拟时间。

文本输入方式:$readmemb/$readmemh

Verilog提供了读入文本文件的系统函数,用于激励具有复杂数据结构的模块。

1. $readmemb/$readmemh("<数据文件名>", <存储器名>):读取二进制/十六进制数据文件到存储器中。

2. $readmemb/$readmemh("<数据文件名>", <存储器名>, <起始地址>):从指定起始地址开始读取数据文件到存储器中。

3. $readmemb/$readmemh("<数据文件名>", <存储器名>, <起始地址>, <结束地址>):从起始地址到结束地址读取数据文件到存储器中。

在模块中,我们可以使用$readmemh函数将数据文件中的内容读取到存储器中,然后通过$display显示存储器的内容。

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • 开发中ostringstream,格式化输出的问题
  • 7.测试用例设计方法 + Bug
  • 系统性能分析工具sysstat之sar命令以及nginx中打开gzip使用配置gzip_http_version值为1.0和1.1时遇到的结果乱码问题
  • 创游系列开心娱乐完整组件
  • Gmtracker_深度学习驱动的图匹配多目标跟踪项目启动与算法流程
  • 解锁精准电商营销新纪元:深度剖析京东商品详情API数据驱动的营销策略
  • 2024.9.10
  • STL02——手写简单版本的list
  • 中介者模式mediator
  • 参赛心得和思路分享:2021第二届云原生编程挑战赛2: 实现一个柔性集群调度机制
  • linux ubuntu编译 openjdk11
  • ## 1.3.Git命令
  • 【网络安全】-xss跨站脚本攻击-pikachu
  • Python 全局变量使用指南
  • 【人工智能】大模型重要概念
  • 《微软的软件测试之道》成书始末、出版宣告、补充致谢名单及相关信息
  • 【391天】每日项目总结系列128(2018.03.03)
  • echarts花样作死的坑
  • ES6之路之模块详解
  • java正则表式的使用
  • js写一个简单的选项卡
  • Leetcode 27 Remove Element
  • miniui datagrid 的客户端分页解决方案 - CS结合
  • rc-form之最单纯情况
  • redis学习笔记(三):列表、集合、有序集合
  • Windows Containers 大冒险: 容器网络
  • 从0到1:PostCSS 插件开发最佳实践
  • 高性能JavaScript阅读简记(三)
  • 构造函数(constructor)与原型链(prototype)关系
  • 浅谈JavaScript的面向对象和它的封装、继承、多态
  • 移动端唤起键盘时取消position:fixed定位
  • 译自由幺半群
  • 用jQuery怎么做到前后端分离
  • ​ArcGIS Pro 如何批量删除字段
  • ​configparser --- 配置文件解析器​
  • ​人工智能书单(数学基础篇)
  • ​如何防止网络攻击?
  • #{}和${}的区别?
  • #APPINVENTOR学习记录
  • (0)Nginx 功能特性
  • (07)Hive——窗口函数详解
  • (java)关于Thread的挂起和恢复
  • (Redis使用系列) Springboot 使用Redis+Session实现Session共享 ,简单的单点登录 五
  • (二十五)admin-boot项目之集成消息队列Rabbitmq
  • (附源码)springboot码头作业管理系统 毕业设计 341654
  • (附源码)计算机毕业设计ssm基于B_S的汽车售后服务管理系统
  • (九)c52学习之旅-定时器
  • (一)为什么要选择C++
  • (转)【Hibernate总结系列】使用举例
  • (转)人的集合论——移山之道
  • **《Linux/Unix系统编程手册》读书笔记24章**
  • **登录+JWT+异常处理+拦截器+ThreadLocal-开发思想与代码实现**
  • .bat批处理(一):@echo off
  • .NET Core IdentityServer4实战-开篇介绍与规划
  • .Net Winform开发笔记(一)