当前位置: 首页 > news >正文

【FPGA】Xilinx-7系的时钟资源与DDR3配置

引子:

  HP中的DDR需要sys_clkclk_ref两路输入,HR用户功能也需要usr_clk时钟输入。

但是HR资源IO被完全占用,HP中只有bank33的MRCC/SRCC可以作为fpga的时钟输入。以及为了尽量减少差分晶振的数量,需要合理利用内部时钟资源。

 

 一、先弄清楚DDR_controller的clk需求

  调用MIG IP,选择DDR3 32位宽4GB。

System Clock给DDR控制器逻辑和接口提供时钟;Reference Clock单独给Delay单元提供时钟,用来调整DDR信号时序。

  Reference Clock:先来简单的,ref_clk给个固定的200MHz输入就可以了,可以认为跟ddr_controller这块是功能分开的。

You need to always supply a 200 MHz ref_clk and then MIG creates the appropriate IDELAYCTRL frequency with an additional MMCM.

 

  Input Clock Period:片子差分晶振频率,作为PLL模块的CLKIN。该PLL的VCO频率为800MHz(待确认)。

另外,这个模块能出5路 Additional Clock 给其他Fabric用,能否给user的logic?(待确认)

driven from an internal clock (No Buffer).

只有Input Clock Period是外挂200mhz时,Reference Clock才能选择Use System Clock。

建议两者都选 No Buffer,使用内部时钟。

Internal Vref 能释放 IO_0_VRN / IO_25_VRP 两个管脚,这里为什么不能勾选?(待确认)

  DDR颗粒选型:Micron MT41K1G8SN-107 

 

二、内部时钟如何分配

 7系内部分成了许多个clock region,每个region是50个CLB和IOB合集,且每个region内部有12个global clock domain,这些domain又能被级别最高的32个global clock buffer驱动。

 而这些BUFG又能被CCIO直接驱动,即MRCC/SRCC时钟输入引脚。

 

输入clk_in外接200Mhz晶振,经过clocking wizard输出三路时钟,分别给usr_clk、sys_clk、clk_ref

 

 参考1:ug586_7Series_MIS 

 参考2:ug472_7Series_Clocking

转载于:https://www.cnblogs.com/kevinchase/p/10112191.html

相关文章:

  • 谈项目中如何选择框架和库(FEDAY主题分享总结)
  • 如何做线段绕着点旋转一定角度的动画
  • python写商品管理练习
  • React组件设计模式(一)
  • 【技能意志矩阵-skill will matrix】工作中究竟是个人能力更重要,还是我们的积极性更能提高我们的业绩?...
  • Kubernetes-架构路线图
  • libevent的入门学习-库的安装【转】
  • swift - UIWebView 和 WKWebView(iOS12 之后替换UIWebView)
  • jmeter聚合报告详解
  • php中的匿名函数和闭包(closure)
  • nginx rewrite only specific servername to https
  • 闭包总结
  • 浅谈k8s cni 插件
  • Python爬虫--- 1.3 BS4库的解析器
  • Intellij IDEA 部署 Spring Boot / Spring Cloud 应用到阿里云
  • SegmentFault for Android 3.0 发布
  • [译] 怎样写一个基础的编译器
  • happypack两次报错的问题
  • IDEA常用插件整理
  • JAVA 学习IO流
  • jquery cookie
  • Python实现BT种子转化为磁力链接【实战】
  • Tornado学习笔记(1)
  • 前端面试之CSS3新特性
  • 融云开发漫谈:你是否了解Go语言并发编程的第一要义?
  • 如何学习JavaEE,项目又该如何做?
  • 说说动画卡顿的解决方案
  • 跳前端坑前,先看看这个!!
  • 一些css基础学习笔记
  • 移动端解决方案学习记录
  • 优化 Vue 项目编译文件大小
  • ​人工智能书单(数学基础篇)
  • ​水经微图Web1.5.0版即将上线
  • # 安徽锐锋科技IDMS系统简介
  • ### Cause: com.mysql.jdbc.exceptions.jdbc4.MySQLTr
  • #微信小程序(布局、渲染层基础知识)
  • #我与Java虚拟机的故事#连载08:书读百遍其义自见
  • (4) PIVOT 和 UPIVOT 的使用
  • (ZT)出版业改革:该死的死,该生的生
  • (附源码)springboot金融新闻信息服务系统 毕业设计651450
  • (附源码)ssm考试题库管理系统 毕业设计 069043
  • (过滤器)Filter和(监听器)listener
  • (论文阅读笔记)Network planning with deep reinforcement learning
  • (亲测)设​置​m​y​e​c​l​i​p​s​e​打​开​默​认​工​作​空​间...
  • (三)c52学习之旅-点亮LED灯
  • (十一)图像的罗伯特梯度锐化
  • ******IT公司面试题汇总+优秀技术博客汇总
  • .net framework profiles /.net framework 配置
  • .net 调用php,php 调用.net com组件 --
  • .NET 应用架构指导 V2 学习笔记(一) 软件架构的关键原则
  • .NET框架设计—常被忽视的C#设计技巧
  • :“Failed to access IIS metabase”解决方法
  • ;号自动换行
  • @JoinTable会自动删除关联表的数据
  • @Resource和@Autowired的区别