当前位置: 首页 > news >正文

基于Verilog搭建一个卷积运算单元的简单实现

目录

  • 前言
  • 1. 图片的缓存与读取
  • 2. 滑窗的构建
  • 3. 权值的读取
    • 3.1 行列计数器的构建
    • 3.2 权重数据的取存
  • 4. 卷积运算
    • 4.1 乘法运算
    • 4.2 加法运算
    • 4.3 卷积输出有效位

前言

基于Verilog实现卷积神的运算需要,有3个要素,即图片数据、滤波器权值数据和乘加运算,一个基本的卷积运算过程如图1所示,本博客是在前文(1. Vivado简单双端口RAM 使用,问题探析 和基于verilog的CNN搭建缓存图片数据浅析)分析的基础上,系统地说明卷积实现过程,主要包括代码分析和仿真,旨在自我学习记录。为了加深理解,便于仿真实现,本文模拟了一个大小为5×5大小图片结和1个2×2的滤波器进行卷积运算,注意:本实验没有考虑偏置项
在这里插入图片描述

图1 卷积的实现过程

在这里插入图片描述

图2 图片(左)和滤波器(右)


1. 图片的缓存与读取

图片主要是通过RAM进行缓存,具体的实现方法如基于verilog的CNN搭建缓存图片数据浅析所示,这里不在赘述,直接得到的仿真波形,如下所示:
在这里插入图片描述

图3 图片缓存仿真结果

从图中可以发现,在对第三行的数据进行写地址操作时,实现了对前两行数据的正常输出,简而言之就是,缓存数据的输出比输入延迟5个时钟周期。

2. 滑窗的构建

由于滤波器是2×2的,需要也构建一个2×2的邻域像素块实现来实现与滤波器权值的乘加运算。

reg [7:0] window[2-1:0][2-1:0];   //定义一个二维数组window[2][2]的滑窗
integer i,j; 

always @(posedge clk or negedge rst_n ) begin  //赋初值为0
    if (~rst_n) begin     
        for (i = 0; i < 2; i = i + 1) begin
            for (j = 0; j < 2; j = j + 1) begin
                window[i][j] <= 'd0;  
            end
        end
    end
    else if(data_in_valid == 1'b1) begin       //如果data_in_valid有效,window[i][0]= window_in[i]
        for (i = 0; i < 2; i = i + 1) begin
                window[i][0] <= window_in[i];
            for (j = 1; j < 2; j = j + 1) begin //数据缓存一拍
                window[i][j] <= window[i][j-1];
            end
        end
    end
end

仿真结果如图3所示,这样实现了window构建,在数据进行第2行的缓存中,可以获得相应的滑窗数据(正确的,用于计算的),如光标所指示的,因为非阻塞赋值,所以会晚1拍。在这里插入图片描述

图4 滑窗构建的仿真结果

3. 权值的读取

3.1 行列计数器的构建

首先需要构建一个计数器,用于指向行列信息。具体代码如下,其中x_cnt 比较简单,y_cnt 的计算需要考虑x_cnt,但整体不难理解。

//======================= x_cnt y_cnt ====================
reg [2:0] x_cnt;
reg [2:0] y_cnt;

always@(posedge clk,negedge rst_n)begin
    if(~rst_n)
        x_cnt<=0;
    else if(x_cnt == 'd4 && data_in_valid==1'b1)
        x_cnt<=0;
    else if(data_in_valid==1'b1)
        x_cnt<= x_cnt +1'b1;
end

always@(posedge clk,negedge rst_n)begin
    if(~rst_n)
        y_cnt<=0;
    else if(y_cnt == 'd4 &&x_cnt == 'd4 && data_in_valid==1'b1)
        y_cnt<=0;
    else if(data_in_valid==1'b1 && x_cnt == 'd4)
        y_cnt<= y_cnt +1'b1;
end

仿真结果如下:
在这里插入图片描述

图5 计数器构建仿真结果

3.2 权重数据的取存

因为滤波器为2×2的尺寸,即【20,-21,-12,11】;所以我们在缓存第一行图片的数据的同时,从coe文件中读取权重。具体的操作为例化一个RAM的IP核,采用单端口模式,读取数据。
在这里插入图片描述

图6 IP核构建过程

同时我们需要定义一个使能信号,实现在缓存第一行图片的数据的同时,从 coe文件中读取权重,权重数据将保存在 rd_c1_w_1_data中:

wire c1_w_rd_en;
assign  c1_w_rd_en = (data_in_valid && x_cnt>=0 && y_cnt==0)? 1'b1 : 1'b0;
wire    [15:0] rd_c1_w_1_data;


blk_mem_gen_0 your_instance_name (
  .clka(clk),    // input wire clka
  .ena(c1_w_rd_en),      // input wire ena
  .addra(x_cnt),  // input wire [1 : 0] addra
  .douta(rd_c1_w_1_data)  // output wire [15 : 0] douta
);

在这里插入图片描述

图7 权重读取的仿真波形

最后为了存储这些权重数据,便于后期卷积运算,我们定义了2维的寄存器单元,用于存储这些数据,代码如下:

reg   signed  [15:0]  c1_w_1[1:0][1:0];

always@(*)begin
    if(y_cnt==0)begin
        c1_w_1[(x_cnt-1)/2][(x_cnt-1)%2] = rd_c1_w_1_data;
    end
end

仿真结果如下
在这里插入图片描述

图8 权重存储的仿真波形

4. 卷积运算

4.1 乘法运算

需要重新定义一个2维寄存器单元window_mul_result_1用于存放相乘后的数据,代码如下:

reg signed[31:0]  window_mul_result_1[1:0][1:0];

always@(posedge clk,negedge rst_n)begin
    if(~rst_n)begin
        for(i=0;i<2;i=i+1)begin
            for(j=0;j<2;j=j+1)begin
                    window_mul_result_1[i][j] <= 0;
            end
        end
    end
    else begin
         for(i=0;i<2;i=i+1)begin
            for(j=0;j<2;j=j+1)begin
                    window_mul_result_1[i][j] <={ { 24{1'b0} }, window[i][j] } * { {16{c1_w_1[i][j][15]}}, c1_w_1[i][j] };
            end
        end    
    end
end

仿真结果如下:
在这里插入图片描述

图9 卷积运算仿真结果(乘)

4.2 加法运算

我们采用组合逻辑电路,获得乘加后结果

wire [31:0] window_sum_1; 

assign window_sum_1 = window_mul_result_1[0][0]+window_mul_result_1[0][1]+window_mul_result_1[1][0]+window_mul_result_1[1][1];
assign data_out = {(window_sum_1[31]==0)?window_sum_1:0}; //relu

在这里插入图片描述
#pic_center)

图10 卷积运算仿真结果(加)

4.3 卷积输出有效位

5×5的图像与2×2的滤波器进行卷积(没有padding),输出的特征图尺寸为4×4,由仿真结果(图10)可知,当x_cnt=>1&&y_cnt=>1图中少打等于),还要进行打2拍操作。具体如下:

在这里插入图片描述
#pic_center)

图11 有效输出分析(加)

最终实现的输出如图所示
在这里插入图片描述
图12 卷积运算最终仿真结果

具体代码实现工程请私信

参考:
https://www.bilibili.com/video/BV13U4y1D7dv/?spm_id_from=333.999.0.0
https://www.bilibili.com/video/BV1UL4y1w7i5/?spm_id_from=333.337.search-card.all.click

相关文章:

  • pytorch-实现mnist手写数字识别(彩色)
  • C/C++语言100题练习计划 99——找第一个只出现一次的字符
  • Go使用Gin+mysql实现增删改查
  • PIE-Engine:房山区洪涝灾害风险评价
  • 【我的渲染技术进阶之旅】如何编译Filament的windows版本程序?
  • 03 C++ 字符串、向量和数组
  • python 代码 C 执行
  • 字节外包凭借【ui自动化测试框架】成功进入内部编制
  • 用 Plop 加快项目相似代码生成
  • Codeforces Round #822 (Div. 2) 补题 (A、B、C)
  • 【初阶与进阶C++详解】第十六篇:AVL树-平衡搜索二叉树(定义+插入+旋转+验证)
  • 去除多重共线性的5种方法,你学废了嘛?
  • Verilog的奇技淫巧[更新中]
  • 被CTO推荐的SQL总结
  • 第八章 时序检查(下)
  • 【JavaScript】通过闭包创建具有私有属性的实例对象
  • 【跃迁之路】【519天】程序员高效学习方法论探索系列(实验阶段276-2018.07.09)...
  • CSS 专业技巧
  • Effective Java 笔记(一)
  • ES10 特性的完整指南
  • GitUp, 你不可错过的秀外慧中的git工具
  • Js基础知识(一) - 变量
  • leetcode386. Lexicographical Numbers
  • maven工程打包jar以及java jar命令的classpath使用
  • open-falcon 开发笔记(一):从零开始搭建虚拟服务器和监测环境
  • PHP 程序员也能做的 Java 开发 30分钟使用 netty 轻松打造一个高性能 websocket 服务...
  • SegmentFault 社区上线小程序开发频道,助力小程序开发者生态
  • ubuntu 下nginx安装 并支持https协议
  • underscore源码剖析之整体架构
  • Vue全家桶实现一个Web App
  • 阿里研究院入选中国企业智库系统影响力榜
  • 极限编程 (Extreme Programming) - 发布计划 (Release Planning)
  • 快速体验 Sentinel 集群限流功能,只需简单几步
  • 利用DataURL技术在网页上显示图片
  • 批量截取pdf文件
  • 前端相关框架总和
  • 深入 Nginx 之配置篇
  • 400多位云计算专家和开发者,加入了同一个组织 ...
  • 长三角G60科创走廊智能驾驶产业联盟揭牌成立,近80家企业助力智能驾驶行业发展 ...
  • ​总结MySQL 的一些知识点:MySQL 选择数据库​
  • #[Composer学习笔记]Part1:安装composer并通过composer创建一个项目
  • #Linux杂记--将Python3的源码编译为.so文件方法与Linux环境下的交叉编译方法
  • #Z0458. 树的中心2
  • (17)Hive ——MR任务的map与reduce个数由什么决定?
  • (2)关于RabbitMq 的 Topic Exchange 主题交换机
  • (AngularJS)Angular 控制器之间通信初探
  • (python)数据结构---字典
  • (二)windows配置JDK环境
  • (附源码)spring boot智能服药提醒app 毕业设计 102151
  • (十五)devops持续集成开发——jenkins流水线构建策略配置及触发器的使用
  • (十一)手动添加用户和文件的特殊权限
  • (转)jQuery 基础
  • (转)socket Aio demo
  • ******之网络***——物理***
  • *_zh_CN.properties 国际化资源文件 struts 防乱码等