当前位置: 首页 > news >正文

FPGA学习笔记(七)verilog的深入学习之任务与函数(语法篇3)

目录

  • 任务与函数
    • 任务
      • 任务的基本概况
      • 自动(可重入)任务
    • 函数
      • 函数概述
      • 自动(递归)函数
      • 常量函数
      • 带符号函数
  • 条件编译

在之前学习的基础上,继续加深对Verilog HDl的学习

前两个见:
FPGA学习笔记(二)Verilog语法初步学习( 语法篇1)

FPGA数字电子技术复习笔记(一)verilog语法规则补充(语法篇2)

任务与函数

在这里插入图片描述
任务更像替代一块verilog代码,其中可以包括延时、时序、事件等语法结构,并且可以具有多个输出变量。
函数更像c语言中有返回值的函数类型,只有一个输出。

任务

任务的基本概况

在这里插入图片描述
语法图看不懂,先记下。

例子:ANSI C风格(c语言的函数风格)

// 定义任务
task bitwise_oper (output [15:0] ab_and,ab_or,ab_xor,input [15:0] a,b);
begin
  #delay ab_and = a & b;
  ab_or = a \ b;
  ab_xor = a ^b;
end
endtask

普通写法:

//定义bitwise_oper任务
task bitwise_oper;
output [15:0] ab_and,ab_or,ab_xor; //任务的输出变量
input [15:0] a, b; //输入到任务中的变量
begin
      #delay ab_and = a & b;
      ab_or = a | b;
      ab_xor = a ^ b;
end
endtask

调用:

reg [15:0]A,B;
reg [15:0]AB_AND,AB_OR,AB_XOR;

//变量的指定必须按照任务定义时的声明次序
bitwise_oper(AB_AND,AB_OR,AB_XOR,A,B);

自动(可重入)任务

任务在本质上是静态的,任务中的所有声明项的地址空间是静态分配的,同时并发执行的多个任务共享这些存储区。
如果这个任务在模块中的两个地方被同时调用,则这两个任务调用将对同一块地址空间进行操作。

Verilog通过在task关键字前面添加automatic关键字,使任务中声明的所有模块项的存储空间都是动态分配的,每次调用都对各自独立的地址空间进行操作。所以,最好使用自动任务。
例子:
在这里插入图片描述

函数

在这里插入图片描述

函数概述

在这里插入图片描述
当函数声明的时候,在Verilog 的内部隐含地声明了一个名为function_identifier(函数标识符)的寄存器类型变量,函数的输出结果将通过这个寄存器类型变量被传递回来,所以不需要自己定义输出变量了。

函数的调用通过指明函数名和输人变量来进行。选项range_or_type(类型或范围)说明了内部寄存器的位宽。如果没有指定返回值的类型或位宽,则默认位宽为1。

举例:

reg [31:0] addr;
reg parity;
parity = calc_parity(addr); 

//定义偶校验位计算函数
function calc_parity;
input [31:0] address;
begin
//适当地设置输出值,使用隐含的内部寄存器calc_parity 
calc_parity = ^address; //返回所有地址位的异或值
end
endfunction

ANSIC的定义风格:

//定义偶校验位计算函数,该函数采用ANSI风格的变量声明
function calc_parity (input [31:0]address) ;
begin
//适当地设置输出值,使用隐含的内部寄存器calc_parity
calc_parity = ^address; //返回所有地址位的异或值
end
endfunction


自动(递归)函数

若某函数在两个不同的地方被同时并发调用,由于这两个调用同时对同一块地址空间进行操作,那么计算结果将是不确定的。
若在函数声明时使用了关键字 automatic,那么该函数将成为自动的或可递归的,即仿真器为每一次函数调用动态地分配新的地址空间,每个函数调用对各自的地址空间进行操作。同自动任务。

//定义自动(递归)函数
function automatic integer factorial;
input [31:0] oper;
integer i;
begin
if (operand >= 2)
  factorial = factorial (oper -1) *oper; //递归调用
else
  factorial = l ;
end
endfunction

常量函数

之后再加深学习

//定义一个RAM类型
module ram (...) ;
parameter RAM_DEPTH = 256;
input [clogb2(RAM_DEPTH)-1:0] addr_bus; //通过调用下面定义的函数得到clogb2=8
//相当于input [7: 0]addr_bus;
....
//常量函数
function integer clogb2 ( input integer depth) ;
begin
for (clogb2=0 ; depth >0; clogb2=clogb2+1)
      depth = depth >> 1;
end
endfunction
...
endmodule

带符号函数

之后再加深学习

module top;
...
//带符号的函数声明
//返回一个64位带符号数
function signed [63:0] compute_signed(input [63:0] vector) ;
...
...
endfunction
...
//从上层模块调用带符号函数
if (compute_signed(vector) < -3)
begin
...
end
...
endmodule

条件编译

很想c语言

`ifdef 宏名(标识符)
	程序段1
`elsif 宏名(标识符)
	程序段2
`else
	程序段3
`endif

‘ifndef语句,与’ifdef功能相反,即当宏名没被定义过,就编译程序段1。

如何定义:


`define 宏名 

注意:` ifdef 语句中不允许使用布尔表带式,例如使用 A&& B来表示编译条件是不允许的。

同时可以把这些宏名另外写到txt里面:

`include "xxx.txt"

然后在xxx.txt中写入`define xxxxxxx,该头文件存放位置只要在工程文件中任何位置就行,不能放到工程文件之外。

相关文章:

  • vulnhub之ctf4
  • 网络分层、OSI七层模型、TCP/IP 五层模型
  • Spring Boot的事务管理实战(附源码 超详细)
  • idea 如何不重启服务进行修改-(热部署)
  • 《你好,放大器》----学习记录(六)
  • mysql数据库之存储引擎
  • C++ STL中的allocator
  • GitHub提交代码超时解决方案 | 配置SSH连接
  • 火山引擎 RTC 全球化架构设计
  • 软考知识点---13语言处理程序基础
  • 生成keystore以及导出keystore公钥,私钥信息
  • 黑马学SpringCloud-Feign
  • 基于springboot的校园二手网站
  • 对于一个即将上线的网站,如何测试
  • 手把手教你搭建SpringCloudAlibaba之Nacos服务集群配置
  • -------------------- 第二讲-------- 第一节------在此给出链表的基本操作
  • (十五)java多线程之并发集合ArrayBlockingQueue
  • 【React系列】如何构建React应用程序
  • 2019.2.20 c++ 知识梳理
  • java8 Stream Pipelines 浅析
  • Laravel核心解读--Facades
  • SpiderData 2019年2月13日 DApp数据排行榜
  • underscore源码剖析之整体架构
  • 分类模型——Logistics Regression
  • 关于extract.autodesk.io的一些说明
  • 基于Mobx的多页面小程序的全局共享状态管理实践
  • 精益 React 学习指南 (Lean React)- 1.5 React 与 DOM
  • 使用agvtool更改app version/build
  • 数组的操作
  • 算法-插入排序
  • 字符串匹配基础上
  • Nginx实现动静分离
  • 小白应该如何快速入门阿里云服务器,新手使用ECS的方法 ...
  • "无招胜有招"nbsp;史上最全的互…
  • #中国IT界的第一本漂流日记 传递IT正能量# 【分享得“IT漂友”勋章】
  • (12)Linux 常见的三种进程状态
  • (27)4.8 习题课
  • (八)Docker网络跨主机通讯vxlan和vlan
  • (初研) Sentence-embedding fine-tune notebook
  • (附源码)ssm捐赠救助系统 毕业设计 060945
  • .htaccess 强制https 单独排除某个目录
  • .NET Core WebAPI中封装Swagger配置
  • .NET Core日志内容详解,详解不同日志级别的区别和有关日志记录的实用工具和第三方库详解与示例
  • .NET 常见的偏门问题
  • .Net的DataSet直接与SQL2005交互
  • .NET框架
  • .NET使用存储过程实现对数据库的增删改查
  • /etc/sudoers (root权限管理)
  • [Angular] 笔记 20:NgContent
  • [BZOJ4337][BJOI2015]树的同构(树的最小表示法)
  • [C++]四种方式求解最大子序列求和问题
  • [ERROR]-Error: failure: repodata/filelists.xml.gz from addons: [Errno 256] No more mirrors to try.
  • [hdu 2826] The troubles of lmy [简单计算几何 - 相似]
  • [IDF]被改错的密码
  • [Intel Edison开发板] 05、Edison开发基于MRAA实现IO控制,特别是UART通信