当前位置: 首页 > news >正文

FIFO 位宽转换

从8位转32位

module tb_fifo();reg clk,rst;   
initial beginclk=0;forever #4.545 clk=~clk;
end
initial beginrst=1;#9.09 rst=0;
endreg [31:0] cnts;
always @ (posedge clk or posedge rst)
beginif(rst)begincnts <= 32'd0;endelsebegincnts <= cnts + 1'b1;end
endreg  [7:0] din;
reg  wr_en;reg  rd_en;
wire [31:0] dout;wire [12:0] rd_data_count;
wire [14:0] wr_data_count;fifo_ICBR_8_32 FIFO (.rst(rst),                      // input wire rst.wr_clk(clk),                // input wire wr_clk.rd_clk(clk),                // input wire rd_clk.din(din),                      // input wire [7 : 0] din.wr_en(wr_en),                  // input wire wr_en.rd_en(rd_en),                  // input wire rd_en.dout(dout),                    // output wire [31 : 0] dout.full( ),                    // output wire full.empty( ),                  // output wire empty.rd_data_count(rd_data_count),  // output wire [12 : 0] rd_data_count.wr_data_count(wr_data_count)  // output wire [14 : 0] wr_data_count
);always @ (posedge clk or posedge rst)
beginif(rst)begindin <= 8'd0;wr_en <= 1'b0;rd_en <= 1'b0;endelsebegincase(cnts)32'd33: begin din<=8'h11;wr_en<=1'b1; end32'd34: begin din<=8'h22;wr_en<=1'b1; end32'd35: begin din<=8'h33;wr_en<=1'b1; end32'd36: begin din<=8'h44;wr_en<=1'b1; end32'd37: begin            wr_en<=1'b0; end32'd60: begin rd_en<=1'b1; end32'd61: begin rd_en<=1'b0; enddefault:begin din<=din;wr_en<=wr_en;rd_en<=rd_en; endendcaseend
end

在这里插入图片描述

相关文章:

  • 力扣740. 删除并获得点数(动态规划)
  • Debian或Ubuntu静态交叉编译arm和aarch64
  • miniconda快速安装
  • 我的云栖大会之旅:见证云计算创新的15年
  • 使用springboot对Elasticsearch 进行索引的增、删、改、查
  • 企业网络带宽使用情况检查技巧
  • Vite+Vue3项目全局引入scss文件
  • 【蓝桥杯选拔赛真题44】python小蓝晨跑 青少年组蓝桥杯python 选拔赛STEMA比赛真题解析
  • 从用户角度出发,如何优化大数据可视化体验|北京蓝蓝UI设计公司
  • [100天算法】-实现 strStr()(day 52)
  • Selenium学习(Java + Edge)
  • 软考之知识产品+例题
  • Mozilla Firefox 119 现已可供下载
  • 算法通关村第四关|黄金挑战|表达式问题
  • window压缩包安装mongodb并注册系统服务
  • 网络传输文件的问题
  • 【挥舞JS】JS实现继承,封装一个extends方法
  • 03Go 类型总结
  • 0基础学习移动端适配
  • Android系统模拟器绘制实现概述
  • Cookie 在前端中的实践
  • httpie使用详解
  • LeetCode18.四数之和 JavaScript
  • springMvc学习笔记(2)
  • Vue全家桶实现一个Web App
  • 聚簇索引和非聚簇索引
  • 跨域
  • 快速体验 Sentinel 集群限流功能,只需简单几步
  • 那些年我们用过的显示性能指标
  • 什么软件可以提取视频中的音频制作成手机铃声
  • 微信端页面使用-webkit-box和绝对定位时,元素上移的问题
  • 你对linux中grep命令知道多少?
  • ​软考-高级-信息系统项目管理师教程 第四版【第19章-配置与变更管理-思维导图】​
  • ​业务双活的数据切换思路设计(下)
  • # Pytorch 中可以直接调用的Loss Functions总结:
  • #define,static,const,三种常量的区别
  • (Java数据结构)ArrayList
  • (安卓)跳转应用市场APP详情页的方式
  • (搬运以学习)flask 上下文的实现
  • (附源码)springboot青少年公共卫生教育平台 毕业设计 643214
  • (附源码)基于ssm的模具配件账单管理系统 毕业设计 081848
  • (四) 虚拟摄像头vivi体验
  • (太强大了) - Linux 性能监控、测试、优化工具
  • (一) springboot详细介绍
  • (转)http-server应用
  • (最简单,详细,直接上手)uniapp/vue中英文多语言切换
  • .locked1、locked勒索病毒解密方法|勒索病毒解决|勒索病毒恢复|数据库修复
  • .net core webapi 部署iis_一键部署VS插件:让.NET开发者更幸福
  • .NET Windows:删除文件夹后立即判断,有可能依然存在
  • .NET 回调、接口回调、 委托
  • .NET(C#) Internals: as a developer, .net framework in my eyes
  • .NET的数据绑定
  • /dev/VolGroup00/LogVol00:unexpected inconsistency;run fsck manually
  • /etc/apt/sources.list 和 /etc/apt/sources.list.d
  • @DependsOn:解析 Spring 中的依赖关系之艺术