当前位置: 首页 > news >正文

【Verilog】期末复习——设计11011序列检测器电路

系列文章

数值(整数,实数,字符串)与数据类型(wire、reg、mem、parameter)
运算符
数据流建模
行为级建模
结构化建模
组合电路的设计和时序电路的设计
有限状态机的定义和分类
期末复习——数字逻辑电路分为哪两类?它们各自的特点是什么?
期末复习——VerilogHDL描述数字逻辑电路的建模方式有哪三种?它们的特点是?
期末复习——解释下列名词(FPGA、ASIC、IP、RTL、EDA、HDL、FSM)
期末复习——简要说明仿真时阻塞赋值和非阻塞赋值的区别。always语句和initial语句的关键区别是什么?能否相互嵌套?
期末复习——设计带进位输入和输出的8位全加器,包括测试模块
期末复习——举重比赛有三名裁判,当运动员将杠铃举起后,须有两名或两名以上裁判认可,方可判定试举成功,若用A、B、C分别代表三名裁判的意见输入,同意为1,否定为0;F为裁判结果输出
期末复习——设计一个带异步复位端且高电平有效的32分频电路
期末复习——设计带异步清零且高电平有效的4位循环移位寄存器


  • 系列文章


下面用Moore状态机实现。即此态由现态和输入决定,输出仅由现态决定。

s0:0

s1:1

s2:11

s3:110

s4:1101

s5:11011

总共有六种状态。

module moore(clk,reset,din,dout);input clk,reset,din;output reg dout;//状态编码reg[2:0] ps,ns;parameter s0=3'b000,s1=3'b001,s2=3'b010,s3=3'b011,s4=3'b100;//状态寄存器模块always @(posedge clk)beginif (clr == 0) ps<=s0;else ps<=ns;end//次态模块always @(*)begincase(ps)p0: if(din==1) ns=s1;else ns=s0;p1: if(din==1) ns=s2;else ns=s0;p2: if(din==0) ns=s3;else ns=s2;p3: if(din==1) ns=s4;else ns=s0;p4: if(din==1) ns=s5;else ns=s0;p5: if(din==1) ns=s1;else ns=s0;default: ns=s0;endcaseend//输出模块always @(*)beginif(ps==s5) dout=1;else dout=0;end
endmodule

相关文章:

  • 关于ubuntu20.04(Linux)屏幕突然横屏的解决方案
  • 开源C语言库Melon:多线程治理
  • 《数据库概述》 第七章 数据库设计
  • 6.OpenResty系列之深入理解(二)
  • PHPStudy快速搭建网站并结合内网穿透远程访问本地站点
  • 添加一个编辑的小功能(PHP的Laravel)
  • 计算机创新协会冬令营——暴力枚举题目03
  • 063:vue中一维数组与三维数组联动,类似购物车增减
  • 查看Linux系统内存、CPU、磁盘使用率和详细信息
  • Linux du和df命令
  • web学习笔记(十四)
  • spring-mvc数据绑定和表单标签库(介绍)
  • 51-5 Transformer 论文精读
  • Java反射获取实例并填充注解值
  • 2022 年全国职业院校技能大赛高职组云计算赛项试卷部分解析
  • 【347天】每日项目总结系列085(2018.01.18)
  • 【node学习】协程
  • 【腾讯Bugly干货分享】从0到1打造直播 App
  • angular组件开发
  • download使用浅析
  • Gradle 5.0 正式版发布
  • Hibernate【inverse和cascade属性】知识要点
  • iOS筛选菜单、分段选择器、导航栏、悬浮窗、转场动画、启动视频等源码
  • JavaScript创建对象的四种方式
  • js算法-归并排序(merge_sort)
  • MobX
  • nfs客户端进程变D,延伸linux的lock
  • open-falcon 开发笔记(一):从零开始搭建虚拟服务器和监测环境
  • Zepto.js源码学习之二
  • 半理解系列--Promise的进化史
  • 从@property说起(二)当我们写下@property (nonatomic, weak) id obj时,我们究竟写了什么...
  • 第十八天-企业应用架构模式-基本模式
  • 开发了一款写作软件(OSX,Windows),附带Electron开发指南
  • 如何实现 font-size 的响应式
  • 如何使用 JavaScript 解析 URL
  • 什么软件可以提取视频中的音频制作成手机铃声
  • 使用docker-compose进行多节点部署
  • 使用SAX解析XML
  • 我看到的前端
  • 消息队列系列二(IOT中消息队列的应用)
  • 源码安装memcached和php memcache扩展
  • 【运维趟坑回忆录 开篇】初入初创, 一脸懵
  • 没有任何编程基础可以直接学习python语言吗?学会后能够做什么? ...
  • #!/usr/bin/python与#!/usr/bin/env python的区别
  • #100天计划# 2013年9月29日
  • #我与Java虚拟机的故事#连载02:“小蓝”陪伴的日日夜夜
  • (2)nginx 安装、启停
  • (Arcgis)Python编程批量将HDF5文件转换为TIFF格式并应用地理转换和投影信息
  • (Git) gitignore基础使用
  • (深度全面解析)ChatGPT的重大更新给创业者带来了哪些红利机会
  • (十五)devops持续集成开发——jenkins流水线构建策略配置及触发器的使用
  • (十五)Flask覆写wsgi_app函数实现自定义中间件
  • (原創) 如何將struct塞進vector? (C/C++) (STL)
  • .cfg\.dat\.mak(持续补充)
  • .net core 6 集成 elasticsearch 并 使用分词器