当前位置: 首页 > news >正文

FPGA_简单工程_拨码开关

一 框图

二 波形图

三 代码

3.1 工程代码

module bomakiaguan (input [15:0] switch,  // 输入16路拨码开关output reg [15:0] led  // 输出16个LED灯
);always @(switch) beginled <= switch;  // 将拨码开关的值直接赋给LED灯
end
// 将拨码开关的值直接赋给LED灯
endmodule

3.2 仿真代码

module bomakiaguan_tb;reg [15:0] switch;  // 模拟输入,16位拨码开关wire [15:0] led;    // 模拟输出,16位LED灯bomakiaguan dut (.switch(switch),.led(led));// 初始化拨码开关的值initial beginswitch = 16'b0000000000000000;#5;switch = 16'b1111000011110000;#10;switch = 16'b0101010101010101;#15;switch = 16'b1111111111111111;#20;switch = 16'b0000000000000000;#25;$finish;end// 打印拨码开关和LED灯的值always @(switch, led) begin$display("Switch: %b, LED: %b", switch, led);endendmodule

相关文章:

  • 【计算机网络】网络层
  • 【云粒】笔试题
  • springboot190基于springboot框架的工作流程管理系统的设计与实现
  • 从零开始学howtoheap:解题西湖论剑Storm_note
  • 23种设计模式之原型模式
  • Linux操作系统基础(九):Linux用户与权限
  • 勒索病毒最新变种.faust勒索病毒来袭,如何恢复受感染的数据?
  • 贪心算法练习day1
  • postgresql 手动清理wal日志的101个坑
  • Mock.js
  • Python三级考试笔记
  • 谁拿了最多奖学金——NOIP 2005 提高组
  • 《MySQL 简易速速上手小册》第10章:未来趋势和进阶资源(2024 最新版)
  • 论文阅读 - Non-Local Spatial Propagation Network for Depth Completion
  • 机器学习:过拟合和欠拟合的介绍与解决方法
  • 分享一款快速APP功能测试工具
  • 「面试题」如何实现一个圣杯布局?
  • Angular 2 DI - IoC DI - 1
  • C++入门教程(10):for 语句
  • JavaScript 基础知识 - 入门篇(一)
  • Koa2 之文件上传下载
  • mockjs让前端开发独立于后端
  • python 装饰器(一)
  • quasar-framework cnodejs社区
  • ReactNative开发常用的三方模块
  • TypeScript迭代器
  • webgl (原生)基础入门指南【一】
  • 从重复到重用
  • 高度不固定时垂直居中
  • 精益 React 学习指南 (Lean React)- 1.5 React 与 DOM
  • 世界上最简单的无等待算法(getAndIncrement)
  • 手机端车牌号码键盘的vue组件
  • 学习HTTP相关知识笔记
  • 硬币翻转问题,区间操作
  • ​LeetCode解法汇总2808. 使循环数组所有元素相等的最少秒数
  • # 数论-逆元
  • ###C语言程序设计-----C语言学习(6)#
  • #etcd#安装时出错
  • #快捷键# 大学四年我常用的软件快捷键大全,教你成为电脑高手!!
  • (day6) 319. 灯泡开关
  • (离散数学)逻辑连接词
  • (一)Spring Cloud 直击微服务作用、架构应用、hystrix降级
  • (转)Oracle 9i 数据库设计指引全集(1)
  • **CI中自动类加载的用法总结
  • .net 获取url的方法
  • .NET 解决重复提交问题
  • .NET 线程 Thread 进程 Process、线程池 pool、Invoke、begininvoke、异步回调
  • .Net的DataSet直接与SQL2005交互
  • .net图片验证码生成、点击刷新及验证输入是否正确
  • .NET运行机制
  • @Bean有哪些属性
  • [AIGC] Spring Interceptor 拦截器详解
  • [Angular] 笔记 6:ngStyle
  • [ARC066F]Contest with Drinks Hard
  • [bzoj4240] 有趣的家庭菜园