当前位置: 首页 > news >正文

vivado FSM Components

Vivado合成功能

•同步有限状态机(FSM)组件的特定推理能力。

•内置FSM编码策略,以适应您的优化目标。

•FSM提取默认启用。

•使用-fsm_extraction off可禁用fsm提取。

FSM描述

Vivado综合支持Moore和Mealy中的有限状态机(FSM)规范形式。FSM由以下部分组成:

•状态寄存器

•下一个状态功能

•输出功能

FSM图

下图显示了包含Mealy和Moore的FSM表示机器。

下图显示了一个包含三个进程的FSM图。

FSM寄存器

•指定Vivado合成的重置或通电状态,以识别有限状态机(FSM)或将FSM_ENCODING的值设置为“none”。

•状态寄存器可以异步或同步重置为特定状态。

注意:FSM使用同步重置逻辑而非异步重置逻辑。

自动状态编码

当FSM_ENCODING设置为“自动”时,Vivado合成会尝试选择最适合的给定FSM的编码方法。

一个热状态编码

一个热状态编码具有以下属性:

•是状态机的默认编码方案,最多32个状态。

•通常是优化速度或减少功耗的好选择。

•为每个FSM状态分配一个不同的代码位。

•实现状态寄存器,每个状态有一个触发器。

•在操作期间的给定时钟周期中,仅断言状态寄存器的一位。

•在两种状态之间的转换过程中,只有两位切换。

灰度编码

灰度编码具有以下属性:

•保证只有一位在两个连续状态之间切换。

•适用于无分支的长路径控制器。

•最大限度地减少危险和故障。

•可用于最大限度地减少功耗。

Johnson状态编码

当使用包含长路径且没有的状态机时,Johnson State编码是有益的分支(如在灰度编码中)。

顺序状态编码

顺序状态编码具有以下属性:

•识别长路径

•将连续的基数2代码应用于这些路径上的状态。

•最小化下一个状态方程。

Filename: fsm_1.v
// State Machine with single sequential block
//fsm_1.v
module fsm_1(clk,reset,flag,sm_out);
input clk,reset,flag;
output reg sm_out;
parameter s1 = 3'b000;
parameter s2 = 3'b001;
parameter s3 = 3'b010;
parameter s4 = 3'b011;
parameter s5 = 3'b111;
reg [2:0] state;
always@(posedge clk)
begin
if(reset)
begin
state <= s1;
sm_out <= 1'b1;
end
else
begin
case(state)
s1: if(flag)
begin
state <= s2;
sm_out <= 1'b1;
end
else
begin
state <= s3;
sm_out <= 1'b0;
end
s2: begin state <= s4; sm_out <= 1'b0; end
s3: begin state <= s4; sm_out <= 1'b0; end
s4: begin state <= s5; sm_out <= 1'b1; end
s5: begin state <= s1; sm_out <= 1'b1; end
endcase
end
end
endmodule
FSM Example with Single Sequential Block (VHDL)
Filename: fsm_1.vhd
-- State Machine with single sequential block
-- File: fsm_1.vhd
library IEEE;
use IEEE.std_logic_1164.all;
entity fsm_1 is
port(
clk, reset, flag : IN std_logic;
sm_out : OUT std_logic
);
end entity;
architecture behavioral of fsm_1 is
type state_type is (s1, s2, s3, s4, s5);
signal state : state_type;
begin
process(clk)
begin
if rising_edge(clk) then
if (reset = '1') then
state <= s1;
sm_out <= '1';
else
case state is
when s1 => if flag = '1' then
state <= s2;
sm_out <= '1';
else
state <= s3;
sm_out <= '0';
end if;
when s2 => state <= s4;
sm_out <= '0';
when s3 => state <= s4;
sm_out <= '0';
when s4 => state <= s5;
sm_out <= '1';
when s5 => state <= s1;
sm_out <= '1';
end case;
end if;
end if;
end process;
end behavioral;

FSM报告

Vivado合成在日志文件中标记INFO消息,提供有关有限状态的信息机器(FSM)组件及其编码。以下是消息示例:

INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module
'fsm_test'
INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using
encoding 'sequential' in module 'fsm_test'

相关文章:

  • 云HIS系统源码,基于云计算技术的B/S架构的云HIS系统,二甲医院信息管理系统
  • 【Ubuntu】Anaconda的安装和使用
  • etcd: mac 环境部署
  • Windows+Yolo3-darknet训练自己数据集并测试
  • 【0265】postmaster守护进程入口
  • 学习大数据所需的java基础(5)
  • 【PHP设计模式03】抽象工厂模式
  • 面试经典150题——快乐数
  • 大数据-数据可视化-环境部署vue+echarts+显示案例
  • uniapp:APP端webview拦截H5页面跳转,华为市场发布需要限制webview的H5页面跳转
  • 【Elasticsearch专栏 12】深入探索:Elasticsearch使用索引生命周期管理(ILM)自动化删除旧数据
  • 安卓OpenGL添加水印并录制(二)---抖音录制原理
  • 测试环境搭建整套大数据系统(六:搭建sqoop)
  • 快速搭建ARM64实验平台(QEMU虚拟机+Debian)
  • Oracle中merge into和update的用法
  • jdbc就是这么简单
  • Js基础——数据类型之Null和Undefined
  • Magento 1.x 中文订单打印乱码
  • nginx 配置多 域名 + 多 https
  • Solarized Scheme
  • SSH 免密登录
  • webpack项目中使用grunt监听文件变动自动打包编译
  • 好的网址,关于.net 4.0 ,vs 2010
  • 基于Volley网络库实现加载多种网络图片(包括GIF动态图片、圆形图片、普通图片)...
  • 看域名解析域名安全对SEO的影响
  • 你真的知道 == 和 equals 的区别吗?
  • 批量截取pdf文件
  • 浅谈web中前端模板引擎的使用
  • 用Visual Studio开发以太坊智能合约
  • 正则表达式小结
  • 自定义函数
  • ​低代码平台的核心价值与优势
  • # 学号 2017-2018-20172309 《程序设计与数据结构》实验三报告
  • #!/usr/bin/python与#!/usr/bin/env python的区别
  • #define、const、typedef的差别
  • $.type 怎么精确判断对象类型的 --(源码学习2)
  • (1)Android开发优化---------UI优化
  • (AngularJS)Angular 控制器之间通信初探
  • (Arcgis)Python编程批量将HDF5文件转换为TIFF格式并应用地理转换和投影信息
  • (Demo分享)利用原生JavaScript-随机数-实现做一个烟花案例
  • (分享)自己整理的一些简单awk实用语句
  • (附源码)python旅游推荐系统 毕业设计 250623
  • (附源码)ssm学生管理系统 毕业设计 141543
  • (附源码)流浪动物保护平台的设计与实现 毕业设计 161154
  • (汇总)os模块以及shutil模块对文件的操作
  • (六)什么是Vite——热更新时vite、webpack做了什么
  • (转)创业家杂志:UCWEB天使第一步
  • (转载)微软数据挖掘算法:Microsoft 时序算法(5)
  • .mkp勒索病毒解密方法|勒索病毒解决|勒索病毒恢复|数据库修复
  • .net framework 4.0中如何 输出 form 的name属性。
  • .NET 材料检测系统崩溃分析
  • .NET/C# 阻止屏幕关闭,阻止系统进入睡眠状态
  • .net程序集学习心得
  • .secret勒索病毒数据恢复|金蝶、用友、管家婆、OA、速达、ERP等软件数据库恢复
  • @manytomany 保存后数据被删除_[Windows] 数据恢复软件RStudio v8.14.179675 便携特别版...