当前位置: 首页 > news >正文

vivado CLOCK_REGION、CLOCK_ROOT

时钟区域
CLOCK_REGION属性用于将时钟缓冲区分配给
UltraScale设备,同时让Vivado放置程序将时钟缓冲区分配给最佳站点
在该区域内。
重要提示:对于UltraScale设备,不建议将时钟缓冲区固定到特定站点,因为
你可以在时钟上规划一个7系列的设计。相反,您可以将“时钟缓冲区”指定给特定的
CLOCK_REGION并将时钟资源留给Vivado砂矿器以确定最佳
时钟结构。
体系结构支持
UltraScale和UltraScale+体系结构。
适用对象
•全局时钟缓冲单元(get_cell)
°BUFG细胞(BUFGCE、BUFGTRL、BUFG_GT、BUFGCE_DIV)
价值观
•<VALUE>:指定要将一个或多个单元格放入的CLOCK_REGION。这个
CLOCK_REGION由名称指定为X#Y#,或由
get_clock_regions Tcl命令。
注:有关更多信息,请参阅Vivado Design Suite Tcl命令参考指南(UG835)[参考文献13]
有关get_clock_regions命令的信息。

XDC Syntax
set_property CLOCK_REGION X0Y2 [get_cells <cell> ]
Where
<cell> is an instance of a global clock buffer.
XDC Syntax Example
User assignment of the CLOCK_RERGION would be performed in XDC as follows:
set_property CLOCK_REGION X4Y6 [get_cells {sys_clk_pll/inst/clkf_buf}]
CLOCK_ROOT
重要提示:CLOCK_ROOT属性已从用户可定义的属性更改为只读属性
所有物用户可定义的属性已更改为user_CLOCK_ROOT,应使用
相反
CLOCK_ROOT属性是反映当前资源的只读属性
物理设计中全局时钟网的驱动器或根的分配。这个
CLOCK_ROOT反映Vivado放置程序分配的时钟根。地点和路线
工具将自动分配时钟根,以实现设计的最佳时序。
CLOCK_ROOT值应与用户定义的user_CLOCK_ROOT属性匹配,如果它是
定义USER_CLOCK_ROOT属性允许您手动分配时钟根。
提示:如果Vivado路由器使用Explore指令运行,它可以在中向网络添加额外的时钟根
以提高结果的质量。
体系结构支持
UltraScale和UltraScale+体系结构。
适用对象
•直接连接到全局时钟缓冲器输出的全局时钟网(get_nets)。
价值
•<clock_region|pblock_name>:指定目标上时钟区域的名称
部件或当前设计中定义的Pblock。
•<object>:指定一个或多个时钟网络或网段。

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • Linux内核 -- 虚拟化之virtio驱动程序实现
  • VBA打开其他Excel文件
  • 算法day02 回文 罗马数字转整数
  • 从资金管理的角度 谈谈伦敦金投资技巧
  • 在 Azure 云中开始使用适用于 Ubuntu 的 Grafana
  • SpringMVC:SpringMVC执行流程
  • uniApp 封装VUEX
  • 【HarmonyOS NEXT】鸿蒙如何让List组件不满一屏时,还要能滑动和回弹
  • ONLYOFFICE8.1版本桌面编辑器测评
  • 白骑士的C语言教学高级篇 3.5 性能优化
  • 生物化学笔记:电阻抗基础+电化学阻抗谱EIS+电化学系统频率响应分析
  • 初步认识 B树(B-tree)
  • 如何使用 SwiftUI 构建 visionOS 应用
  • ActiveMq工具之管理页面说明
  • kotlin 中 string array 怎么表示
  • Android开发 - 掌握ConstraintLayout(四)创建基本约束
  • create-react-app做的留言板
  • HTML中设置input等文本框为不可操作
  • java小心机(3)| 浅析finalize()
  • Mysql优化
  • quasar-framework cnodejs社区
  • SSH 免密登录
  • sublime配置文件
  • vue--为什么data属性必须是一个函数
  • 极限编程 (Extreme Programming) - 发布计划 (Release Planning)
  • 深度学习入门:10门免费线上课程推荐
  • 首页查询功能的一次实现过程
  • -- 数据结构 顺序表 --Java
  • 项目实战-Api的解决方案
  • 一加3T解锁OEM、刷入TWRP、第三方ROM以及ROOT
  • 正则表达式小结
  • Python 之网络式编程
  • ​直流电和交流电有什么区别为什么这个时候又要变成直流电呢?交流转换到直流(整流器)直流变交流(逆变器)​
  • #laravel 通过手动安装依赖PHPExcel#
  • #pragma预处理命令
  • (30)数组元素和与数字和的绝对差
  • (4)事件处理——(7)简单事件(Simple events)
  • (ZT)出版业改革:该死的死,该生的生
  • (二)Kafka离线安装 - Zookeeper下载及安装
  • (三分钟了解debug)SLAM研究方向-Debug总结
  • (十六)Flask之蓝图
  • (心得)获取一个数二进制序列中所有的偶数位和奇数位, 分别输出二进制序列。
  • (转)3D模板阴影原理
  • (转)linux 命令大全
  • .“空心村”成因分析及解决对策122344
  • .gitignore不生效的解决方案
  • .Net Core和.Net Standard直观理解
  • .net websocket 获取http登录的用户_如何解密浏览器的登录密码?获取浏览器内用户信息?...
  • .NET 设计模式—简单工厂(Simple Factory Pattern)
  • .NET 中的轻量级线程安全
  • .NetCore项目nginx发布
  • .NET企业级应用架构设计系列之开场白
  • .NET设计模式(11):组合模式(Composite Pattern)
  • .php文件都打不开,打不开php文件怎么办
  • /使用匿名内部类来复写Handler当中的handlerMessage()方法