当前位置: 首页 > news >正文

串行并行数据转换

前言

        串行数据传输通常在数据传输距离较远时使用,而并行数据传输适用于短距离、高速数据交换。通过转换,可以根据实际需求选择合适的传输方式,以优化数据传输效率和速度。串行数据传输在长距离传输中可以减少信号的干扰和失真,因为它只使用一条数据线。而并行传输由于多条数据线可能引入干扰,串行并行转换可以在需要时将数据转为串行传输,以减少干扰。在多种接口标准之间转换数据时,串行并行转换可以实现不同接口之间的数据兼容。例如,许多现代通信系统使用串行接口,而内部处理可能使用并行数据格式,转换可以使系统之间的数据交换顺畅。在某些应用中,FPGA等硬件平台可以通过串行并行数据转换实现灵活的设计,简化电路结构,降低成本和复杂度,同时提高系统的整体性能。

正文

一、串行并行数据转换

        1.项目需求

        进行串行数据转换为并行数据,并行数据转化为串行数据实验

        2.技术介绍

        并转串的设计思想:首先准备好一组寄存器,把需要发送的数据(并行数据)放到这个寄存器组里面,然后通过位拼接的移位方式把这个并行数据一位一位地发送给接收端,同时拉高标志信号en,当全部数据发送完之后,再把标志信号en拉低。

        串转并的设计思想:准备好一组寄存器,接收串行数据,将数据按位移入寄存器组,然后将整个寄存器组的数据组合成一个完整的并行数据字。使用一组寄存器暂时存储数据,然后逐位将数据移出至串行输出端,同时使用标志信号指示何时数据转换完成。

        3.顶层架构

并转串

串转并

        4.端口描述

并转串

clk系统时钟(50Mhz)
rst_n复位按键(低电平有效)
sda_in[7:0]并行数据输入
en串行数据串行输出有效信号
sda_out串行输出

串转并

clk系统时钟(50Mhz)
rst_n复位按键(低电平有效)
en串行输入有效信号
sda_in串行输入
sda_out[7:0]并行输出

二、代码验证

并转串:

module para_serial(input clk,input rst_n,input [7:0]sda_in,//并行输入output reg en,output reg sda_out//串行输出);reg [7:0]sda;//并行数据寄存器
reg [3:0]cnt;//移位计数器always @(posedge clk,negedge rst_n)
beginif(rst_n == 0)beginsda_out <= 1'b0;cnt <= 4'd0;sda <= 8'b0;en  <= 1'b0;endelsebeginif(cnt == 0)//数据加载beginsda <= sda_in;cnt <= 4'd1;endelse if(cnt < 9)//移位输出beginen  <= 1'b1;cnt <= cnt + 4'd1;sda <= {sda[6:0],sda[7]};sda_out <= sda[7];endelsebegincnt <= 4'd0;//系统复位sda <= 8'b0;en  <= 1'b0;endend
endendmodule

        仿真程序

`timescale 1ns/1ps
module para_serial_tb;reg clk;reg rst_n;reg [7:0]sda_in;wire en;wire sda_out;para_serial para_serial_inst(.clk(clk),.rst_n(rst_n),.sda_in(sda_in),.en(en),.sda_out(sda_out));initial clk = 1;
always #10 clk = ~clk;initial beginrst_n = 0;#10rst_n = 1;sda_in = 8'b1011_0100;//数据载入#200sda_in = 8'b1010_0001;//数据载入#200$stop;
endendmodule

串转并

module serial_para(input clk,input rst_n,input sda_in,//串行输入input en,//输入有效output reg [7:0] sda//并行输出);always @(posedge clk,negedge rst_n)
beginif(rst_n == 0)beginsda <= 8'b0;endelsebeginif(en == 1)//输入使能sda <= {sda[6:0],sda_in};//数据并位elsesda <= 8'b0;end
endendmodule

创建顶层,利用并转串输出数据

module top(//进行数据连线input clk,input rst_n,input [7:0]sda_in,output en,output sda_out,output [7:0]sda);para_serial para_serial_inst(.clk(clk),.rst_n(rst_n),.sda_in(sda_in),.en(en),.sda_out(sda_out));serial_para serial_para_inst(.clk(clk),.rst_n(rst_n),.sda_in(sda_out),.en(en),.sda(sda));
endmodule

        仿真程序

`timescale 1ns/1ps
module para_serial_tb;reg clk;reg rst_n;reg [7:0]sda_in;wire en;wire sda_out;wire [7:0]sda;top top_inst(.clk(clk),.rst_n(rst_n),.sda_in(sda_in),.en(en),.sda_out(sda_out),.sda(sda));initial clk = 1;
always #10 clk = ~clk;initial beginrst_n = 0;#10rst_n = 1;sda_in = 8'b1011_0100;#200sda_in = 8'b1010_0001;#200$stop;
endendmodule

三、仿真验证

并转串:观察仿真波形图,数据有正常显示,调出过程信号

数据在cnt=0的时钟上升沿时候加载sda,在cnt=1的时候显示输入并进行移位,在cnt=2的时候显示移位结果,并将移位结果输出,依次循环移,直到cnt=8的时钟上升沿对输入数据移位完8次,在cnt = 9的时候输出数据并系统复位,在下一个cnt=0的上升沿时钟加载数据

上图输入10110100,输出电平在en有效下依次为10110100,下图输入10100001输出电平在en有效下依次为10100001,数据转化正确。

串转并:可以观察到在en拉低后sda输出10110100与并转串模块输入数据相同。

在时钟上升沿读取到en=1后将串行输入依次移位保存到并行输出串口并输出,串行输入10100001,经过8次en=1的时钟上升沿后由于输入en为并转串的输出使能,经过8个时钟周期后数据输出完成,en拉低,此时串转并模块输出上个时钟周期产生的完整串转并数据。此时数据为10100001与串行输入结果相同,实验成功。

参考资料

串并转换

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • WEB渗透Bypass篇-常规函数绕过
  • 网络安全与国家安全
  • 力扣Hot100-994腐烂的橘子
  • 007 | 期权定价与布莱克-斯科尔斯计算
  • git pull 注意事项
  • 【hadoop】常用命令
  • 四、数字图像处理Matlab实验 第二章 数字图像基础
  • 猫头虎推荐:人类通向AGI之路 史上最重磅的20篇论文你值得学习
  • Docker快速入门指南
  • 简单介绍一下 git reflog
  • 10、MySQL-索引
  • centos7 启动python后端服务与停止服务的sh脚本
  • Django 自定义用户 VS 用户资料
  • SpringBoot排除默认日志框架
  • 机器学习知识点全面总结
  • [原]深入对比数据科学工具箱:Python和R 非结构化数据的结构化
  • 11111111
  • android高仿小视频、应用锁、3种存储库、QQ小红点动画、仿支付宝图表等源码...
  • css布局,左右固定中间自适应实现
  • ES6系统学习----从Apollo Client看解构赋值
  • isset在php5.6-和php7.0+的一些差异
  • Mysql数据库的条件查询语句
  • react-native 安卓真机环境搭建
  • React组件设计模式(一)
  • Sequelize 中文文档 v4 - Getting started - 入门
  • 测试如何在敏捷团队中工作?
  • 和 || 运算
  • 技术:超级实用的电脑小技巧
  • 山寨一个 Promise
  • 自制字幕遮挡器
  • ​软考-高级-信息系统项目管理师教程 第四版【第23章-组织通用管理-思维导图】​
  • # Apache SeaTunnel 究竟是什么?
  • # dbt source dbt source freshness命令详解
  • (12)Linux 常见的三种进程状态
  • (14)Hive调优——合并小文件
  • (35)远程识别(又称无人机识别)(二)
  • (Demo分享)利用原生JavaScript-随机数-实现做一个烟花案例
  • (HAL)STM32F103C6T8——软件模拟I2C驱动0.96寸OLED屏幕
  • (ISPRS,2023)深度语义-视觉对齐用于zero-shot遥感图像场景分类
  • (Pytorch框架)神经网络输出维度调试,做出我们自己的网络来!!(详细教程~)
  • (八)Flink Join 连接
  • (附源码)springboot工单管理系统 毕业设计 964158
  • (附源码)springboot课程在线考试系统 毕业设计 655127
  • (附源码)springboot码头作业管理系统 毕业设计 341654
  • (论文阅读30/100)Convolutional Pose Machines
  • (免费领源码)Python#MySQL图书馆管理系统071718-计算机毕业设计项目选题推荐
  • (求助)用傲游上csdn博客时标签栏和网址栏一直显示袁萌 的头像
  • (三分钟了解debug)SLAM研究方向-Debug总结
  • (五)大数据实战——使用模板虚拟机实现hadoop集群虚拟机克隆及网络相关配置
  • (学习日记)2024.03.25:UCOSIII第二十二节:系统启动流程详解
  • (已更新)关于Visual Studio 2019安装时VS installer无法下载文件,进度条为0,显示网络有问题的解决办法
  • .gitignore文件_Git:.gitignore
  • .net core 使用js,.net core 使用javascript,在.net core项目中怎么使用javascript
  • .NET WPF 抖动动画
  • .NET 使用 JustAssembly 比较两个不同版本程序集的 API 变化