当前位置: 首页 > news >正文

vivado USED_IN

USED_IN属性被分配给Vivado design中的设计文件(.vh、.vhd、.xdc、.tcl)
套件,用于指示文件在FPGA设计流程中的哪个阶段使用。
例如,您可以使用USED_IN属性指定XDC文件供
Vivado合成工具,但不用于实现。您还可以指定HDL源
文件(.vh或.vhd)作为USED_IN模拟,但不用于合成。
提示:USED_IN_SYNTHESIS、USED_IN_SIMULATION和USED_IN_ IMPLEMENTATION属性是
与USED_IN属性相关并且由工具自动转换为USED_IN({合成,
仿真、实现}。
您还可以使用更细粒度的值将非托管Tcl文件指定为USED_IN
opt_design或place_design,而不是简单地在实现中使用。
架构支持
所有架构。
适用对象
•文件

Values
• synthesis
• synthesis_post
• implementation
• simulation
• out_of_context
• opt_design
• opt_design_post
• power_opt_design
• power_opt_design_post
• place_design
• place_design_post
• phys_opt_design
• phys_opt_design_post
• route_design
• route_design_post
• write_bitstream
• write_bitstream_post
• synth_blackbox_stub
• testbench
• board
• single_language
• power_data
Syntax
Verilog and VHDL Syntax
Not applicable
XDC Syntax
set_property USED_IN { <value> } [get_files <files> ]
Where
<value> specifies one or more of the valid USED_IN values.
<files> is the name or names of the files to set the USED_IN property.
XDC Syntax Example
# Designates the specified files as used in simulation
set_property USED_IN {synthesis simulation} [get_files *.vhdl]

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • C#骑砍逻辑类Mod制作详细解说
  • C++系列-泛型编程之类模板
  • 51单片机——模块化编程
  • Unity编辑器扩展之Hierarchy面板扩展
  • 数美Android SDK
  • 【412】【统计近似相等数对 I】
  • docker python 3.11 容器报错
  • [M模拟] lc3153. 所有数对中数位不同之和(模拟+按位统计)
  • golang 多版本管理工具
  • IDEA没有SQL语句提示
  • 【Springboot服务实现类】用户登录逻辑梳理(未完待续)
  • 数据库与缓存一致性的解决方案
  • django(一):项目搭建
  • python-pptx - Python 操作 PPT 幻灯片
  • Node.js中离线集成ip2region:实现高效的IP地址定位
  • [PHP内核探索]PHP中的哈希表
  • 【RocksDB】TransactionDB源码分析
  • 5分钟即可掌握的前端高效利器:JavaScript 策略模式
  • Android Volley源码解析
  • axios请求、和返回数据拦截,统一请求报错提示_012
  • extjs4学习之配置
  • Joomla 2.x, 3.x useful code cheatsheet
  • Redis的resp协议
  • 干货 | 以太坊Mist负责人教你建立无服务器应用
  • 那些年我们用过的显示性能指标
  • 你真的知道 == 和 equals 的区别吗?
  • 前端每日实战:70# 视频演示如何用纯 CSS 创作一只徘徊的果冻怪兽
  • 实习面试笔记
  • 手机app有了短信验证码还有没必要有图片验证码?
  • 数组的操作
  • 算法-图和图算法
  • 学习笔记:对象,原型和继承(1)
  • 自定义函数
  • 看到一个关于网页设计的文章分享过来!大家看看!
  • 如何在 Intellij IDEA 更高效地将应用部署到容器服务 Kubernetes ...
  • ​MySQL主从复制一致性检测
  • #[Composer学习笔记]Part1:安装composer并通过composer创建一个项目
  • #QT(TCP网络编程-服务端)
  • (C语言)求出1,2,5三个数不同个数组合为100的组合个数
  • (C语言)球球大作战
  • (ZT)出版业改革:该死的死,该生的生
  • (六)软件测试分工
  • (论文阅读11/100)Fast R-CNN
  • (三)docker:Dockerfile构建容器运行jar包
  • (四) Graphivz 颜色选择
  • (算法)前K大的和
  • (转) Face-Resources
  • (转)C#调用WebService 基础
  • ***测试-HTTP方法
  • *++p:p先自+,然后*p,最终为3 ++*p:先*p,即arr[0]=1,然后再++,最终为2 *p++:值为arr[0],即1,该语句执行完毕后,p指向arr[1]
  • *1 计算机基础和操作系统基础及几大协议
  • .NET Conf 2023 回顾 – 庆祝社区、创新和 .NET 8 的发布
  • .NET Core日志内容详解,详解不同日志级别的区别和有关日志记录的实用工具和第三方库详解与示例
  • @angular/cli项目构建--Dynamic.Form
  • [ C++ ] STL_list 使用及其模拟实现