当前位置: 首页 > news >正文

数字IC前端设计怎么学?薪资前景好吗?

数字IC前端设计和各岗位之间有着很多联系,是一个薪资待遇高,前景发展好的岗位。但这个岗位的门槛也比较高,很多人不知道怎么学习,下面就和IC修真院一起来了解一下吧。

数字前端设计必备技能

1、熟悉数字电路设计
2、熟悉Verilog或VHDL
3、熟悉异步电路设计
4、熟悉FIFO的设计
5、熟悉UNIX系统及其工具的使用
6、熟悉脚本语言Perl、Shell、Tcl等
7、熟悉C/C++语言、SystemVerilog、Matlab等
8、熟悉DC、VCS、Verdi、LEC、PT、Spyglass等工具的使用
9、有FPGA验证经验
10、熟悉CMOS电路原理
11、熟悉数字信号处理算法、通信算法、图像算法、人工智能算法等中的一种或多种
12、熟悉计算机体系结构、熟悉通用MCU/SOC设计流程
13、熟悉各种总线协议、接口协议等14、有相关芯片设计/流片经验

数字前端设计处于数字IC设计流程的前端,属于数字IC设计类岗位的一种。前端工程师主要负责芯片的定义和开发,以及逻辑结构的设计,目标是提供可供后续设计的RTL网表。

数字设计主要分成几种层次的设计:IP level, unitlevel,fullchip/SoC level, gatelevel等。

随着芯片规模不断加大,IC设计的复杂度进一步增加,需要用到的岗位人数也越来越多。人才的需求量进一步加大,因此现阶段数字设计工程师招聘量巨大,就业形势较好。

数字前端设计工程师的薪资整体水平较高:

在这里插入图片描述

由于中国对于集成电路越来越重视,企业资金充裕,预计后面应届生的薪资依然会刷新纪录。

工作环境

设计工程师的工作环境就比较简单,大多数时间就是待在办公室里面,面对电脑进行工作。一般配备两台电脑,一台台式机用来工作,一台笔记本用来看资料、处理邮件、文案处理等。

当然会议室是设计工程师经常要去的地方,各种会议、各种review都要在办公室完成,偶尔要少不了一些争吵和撕逼。

如果你在的公司,在全国甚至全球有很多site,偶尔也会需要出差。总的来说出差概率都比较少。当然,如果你是在设计服务公司做设计工程师,可能另当别论,一个项目完成之后可能会派到另外一家公司去。

设计工程师的工作强度还算可以,项目启动的时候相对轻松一些,越接近项目结束时,就会更忙,加班也是常事。当然,工作的强度还是依所在公司的性质来看,一般外企比较轻松,国内的公司就要辛苦很多。

与高薪对应的,数字前端设计对人才的要求也很高,一般企业都要求有相关的专业技能和项目经验,对于非集成电路专业的同学来讲,自学一些专业技能还好,可是获取项目经验就真的比较困难了。

在这里插入图片描述

现在就有一个学习数字设计的绝佳机会,不仅可以从最基础的理论知识开始学起,还能进行实战训练,积累项目经验。IC修真院带你入门。

数字IC前端设计工程师【课程大纲】

数字前端设计基础阶段
Linux
基本概念及服务器登录
目录操作
文件压缩
文件操作
vi编辑器1
vi编辑器2
其他命令操作
Linux总结

数字电路基础
数值和码值
逻辑代数运算
组合逻辑电路
触发器
时序逻辑电路
总结

Verilog HDL基础
Verilog 概述
Verilog 建模
Verilog 基本语法概念
Verilog 模块结构
Verilog 数据类型,常量,变量
Verilog 运算符
Verilog 赋值语句和块语句
Verilog 条件语句
Verilog 循环语句1
Verilog 循环语句2
Verilog always语句
Verilog always以及initial语句
Verilog 任务
Verilog函数
Verilog 基础语句总结
Verilog 系统任务
Verilog预编译处理语句
Verilog 语法总结
verilog描述半加器电路设计及验证
verilog描述全加器电路设计及验证
verilog描述数据选择器电路设计及验证
verilog描述数据比较器电路设计及验证
verilog描述计数器电路设计及验证
verilog描述D触发器电路设计及验证
Verilog描述加法器、乘法器电路设计及验证
Verilog 设计层次描述
Verilog 测试与验证
Verilog 同步状态机原理和设计1
Verilog 同步状态机原理和设计2
Verilog 可综合设计
阻塞和非阻塞
Verilog总结

ASIC概述
常见概念介绍
计算机体系架构
ASIC设计流程
ASIC制造流程
基础知识总结和回顾
组合逻辑电路(MUX及其电路实现)
时序逻辑电路(DFF)
介绍亚稳态
建立时间裕量、保持时间裕量
时序收敛
关键路径
常见EDA工具介绍
版本控制SVN
脚本语言–Makefile
vcs编译仿真
dve调试
编译仿真_Verdi使用

模块设计训练
模块设计实例及常用协议介绍
同步FIFO的基本概念
同步FIFO的Verilog代码实现
同步FIFO的TB搭建及调试
异步FIFO的基本概念
异步FIFO的逻辑框图
单比特信号的跨时钟处理
UART协议相关概念的介绍
UART协议计算
UART的设计规格书
常见握手方式
SPI协议相关概念的介绍
SPI实际应用场景
SPI的优缺点
SPI的设计规格书
APB协议相关概念介绍
APB接口信号
APB接口时序
APB协议向UART/SPI协议的转换
AMBA简述
AMBA握手机制
AHB协议介绍
AHB协议信号介绍
AHB协议时序

模块实例整体答疑及总结
答疑及总结
模块设计项目实战1(模块设计:Mem控制器设计)
AXI protocol
Memory overview
DDR SDRAM
Memory controller design
模块设计项目实战2(模块设计:Flash控制器设计)
Flash overview and mode
Flash controller spec
Flash controller interface
Flash controller timing
spyglass的使用
DesignWare库的介绍
使用spyglass对项目实战的RTL代码进行分析
SOC系统设计及综合
SOC系统设计
SOC概述
SOC设计流程
SOC系统架构
IP复用的设计方法
综合
可测性设计
低功耗设计
计算机体系架构
计算机存储架构

SOC项目实战(MCU项目)
时钟
复位
低功耗
综合
IC设计综合的定义
IC设计综合的过程
IC设计综合的结果
IC设计综合的后续
IC设计中时序约束的定义
IC设计中时序约束的组成
I/O约束概述
设计规则约束-DRC
各种时钟定义
时钟关系
时钟属性
时序特例
综合方法
综合优化

现在行业缺口较大,所以HR对求职者的专业要求也不会卡得特别严,大家想要入行IC行业的,一定要抓住风口,往后随着更多人涌入,门槛就会越来越高。想要了解更多知识,可以关注IC修真院哦!

相关文章:

  • IDEA+Java控制台实现房屋信息管理系统
  • 微服务项目:尚融宝(终)(核心业务流程:整合Rabbit MQ发送短信)
  • Python骚操作,实现驾考自动答题,这就直接满分了?
  • 【Gitee学习之路】Git概述安装教程基本操作指令
  • 一眼就看懂;Android App 开发前景介绍及学习路线规划
  • Python实现支持向量机SVM分类模型线性SVM决策过程的可视化项目实战
  • 想学习软件测试,求推荐看什么书或者教程?
  • Spring-事务管理
  • 监控平台SkyWalking9入门实践
  • 【Linux】常见指令(二)
  • 网络套接字实现UDP机制通信
  • C语言字符串函数的详解
  • 在 Next.js 中使用无服务器函数构建 API
  • Go语言json包怎么使用?
  • ios屏蔽更新的插件
  • [笔记] php常见简单功能及函数
  • 2018天猫双11|这就是阿里云!不止有新技术,更有温暖的社会力量
  • C++入门教程(10):for 语句
  • JavaScript对象详解
  • laravel with 查询列表限制条数
  • Odoo domain写法及运用
  • Python学习之路13-记分
  • Swoft 源码剖析 - 代码自动更新机制
  • vue-loader 源码解析系列之 selector
  • 初识 webpack
  • 简单实现一个textarea自适应高度
  • 码农张的Bug人生 - 初来乍到
  • 前端存储 - localStorage
  • 微信开放平台全网发布【失败】的几点排查方法
  • puppet连载22:define用法
  • 翻译 | The Principles of OOD 面向对象设计原则
  • 通过调用文摘列表API获取文摘
  • ​人工智能之父图灵诞辰纪念日,一起来看最受读者欢迎的AI技术好书
  • $jQuery 重写Alert样式方法
  • (02)Cartographer源码无死角解析-(03) 新数据运行与地图保存、加载地图启动仅定位模式
  • (仿QQ聊天消息列表加载)wp7 listbox 列表项逐一加载的一种实现方式,以及加入渐显动画...
  • (附源码)spring boot校园健康监测管理系统 毕业设计 151047
  • (汇总)os模块以及shutil模块对文件的操作
  • (十)DDRC架构组成、效率Efficiency及功能实现
  • (十一)c52学习之旅-动态数码管
  • (淘宝无限适配)手机端rem布局详解(转载非原创)
  • ***微信公众号支付+微信H5支付+微信扫码支付+小程序支付+APP微信支付解决方案总结...
  • .mysql secret在哪_MySQL如何使用索引
  • .NET Core 和 .NET Framework 中的 MEF2
  • .net 程序发生了一个不可捕获的异常
  • .NET 将混合了多个不同平台(Windows Mac Linux)的文件 目录的路径格式化成同一个平台下的路径
  • .NET/C# 异常处理:写一个空的 try 块代码,而把重要代码写到 finally 中(Constrained Execution Regions)
  • .NET程序员迈向卓越的必由之路
  • .Net多线程总结
  • .Net中wcf服务生成及调用
  • .NET中的Event与Delegates,从Publisher到Subscriber的衔接!
  • .sdf和.msp文件读取
  • .ui文件相关
  • [ Linux Audio 篇 ] 音频开发入门基础知识
  • [ vulhub漏洞复现篇 ] Grafana任意文件读取漏洞CVE-2021-43798