当前位置: 首页 > news >正文

基于C51语音控制小车

目录

前期准备:

SU-03T

电机模块

循迹小车原理

跟随小车/壁障小车

超声波测距

SG90舵机开发

舵机基本介绍

项目


前期准备:

SU-03T

网盘资料
https://pan.baidu.com/s/1dXQSla_8D5O3D7UCsHZ0Dw 提取码 3k5a
这个语音模块不需要编程,不需要二次开发
通过厂家给的网站配置后即可使用,傻瓜式操作,
把A25 26 27设置为高电平

 通过接入C51串口来查看高低电压,进行语音控制

电机模块

L9110s 概述
IA1 输入高电平, IA1 输入低电平,【 OA1 OB1 】电机正转;
IA1 输入低电平, IA1 输入高电平,【 OA1 OB1 】电机反转;
IA2 输入高电平, IA2 输入低电平,【 OA2 OB2 】电机正转;
IA2 输入低电平, IA2 输入高电平,【 OA2 OB2 】电机反转;

和上官一号的接线

循迹模块 

TCRT5000传感器的红外发射二极管不断发射红外线
当发射出的红外线没有被反射回来或被反射回来但强度不够大时,
红外接收管一直处于关断状态,此时模块的输出端为高电平,指示二极管一直处于熄灭状态
被检测物体出现在检测范围内时,红外线被反射回来且强度足够大,红外接收管饱和,
此时模块的输出端为低电平,指示二极管被点亮
总结就是一句话,没反射回来, D0 输出高电平 ,灭灯
接线方式
VCC: 接电源正极( 3-5V
GND: 接电源负极
DO:TTL 开关信号输出 0 1
AO: 模拟信号输出 ( 不同距离输出不同的电压,此脚一般可以不接)

循迹小车原理

由于黑色具有较强的吸收能力,当循迹模块发射的红外线照射到黑线时,红外线将会被黑线吸收,导致循迹模块上光敏三极管处于关闭状态,此时模块上一个LED 熄灭。在没有检测到黑线时,模块上两个 LED 常亮
总结就是一句话,有感应到黑线, D0 输出高电平 ,灭灯
循迹模块安装在小车车头两侧 下方小车两个模块都能反射回来红外,输出低电平,灯亮,直走
上方小车左模块遇到黑线,红外被吸收,左模块输出高电平,右模块输出低电平,左转,反之右转

跟随小车/壁障小车

红外壁障模块分析

原理和寻线是一样的,寻线红外观朝下,跟随朝前

跟随小车的原理  

左边跟随模块能返回红外,输出低电平,右边不能返回,输出高电平,说明物体在左边,需要左转
右边跟随模块能返回红外,输出低电平,左边不能返回,输出高电平,说明物体在右边,需要右转

超声波测距

测距原理基本说明

超声波测距模块是用来测量距离的一种产品,通过发送和收超声波,利用时间差和声音传播速度,
计算出模块到前方障碍物的距离

型号:HC-SR04
接线参考:模块除了两个电源引脚外,还有TRIG,ECHO引脚 

怎么让它发波
 Trig,给Trig端口至少10us的高电平
 
怎么知道开始发了
Echo信号,由低电平跳转到高电平,表示开始发送波

怎么知道接收了返回波
Echo,由高电平跳转回低电平,表示波回来了

怎么算时间
Echo引脚维持高电平的时间!
波发出去的那一下,开始启动定时器
波回来的拿一下,我们开始停止定时器,计算出中间经过多少时间

怎么算距离
距离=速度(340m/s)*时间/2

时序

SG90舵机开发

舵机基本介绍

如下图所示,最便宜的舵机sg90,常用三根或者四根接线,黄色为PWM信号控制用处:垃圾桶项目开盖
用、智能小车的全比例转向、摄像头云台、机械臂等
常见的有0-90°、0-180°、0-360°

怎么控制转角

向黄色信号线“灌入”PWM信号。
PWM波的频率不能太高,50hz,即周期=1/频率=1/50=0.02s,20ms左右数据:
不同的PWM波形对应不同的旋转角度,以20ms为周期,50hz为频率的PWM波 

 

项目

motor.c文件

#include "reg52.h"
 
sbit RightCon1A = P3^7;
sbit RightCon1B = P3^3;
 
sbit LeftCon1A = P3^4;
sbit LeftCon1B = P3^5;
 
void goForward()
{
    LeftCon1A = 0;
    LeftCon1B = 1;
     
    RightCon1A = 0;
    RightCon1B = 1;
}
 
void goRight()
{
    LeftCon1A = 0;
    LeftCon1B = 1;
     
    RightCon1A = 0;
    RightCon1B = 0;
}
 
 
void goLeft()
{
    LeftCon1A = 0;
    LeftCon1B = 0;
     
    RightCon1A = 0;
    RightCon1B = 1;
}
 
void goBack()
{
    LeftCon1A = 1;
    LeftCon1B = 0;
     
    RightCon1A = 1;
    RightCon1B = 0;
}
 
void stop()
{
    LeftCon1A = 0;
    LeftCon1B = 0;
     
    RightCon1A = 0;
    RightCon1B = 0;
}

motor.h文件

void goRight();
void goLeft();
void goForward();
void goBack();
void stop();

delay.c文件

#include "intrins.h"
 
void Delay2000ms()      //@11.0592MHz
{
    unsigned char i, j, k;
 
    i = 15;
    j = 2;
    k = 235;
    do
    {
        do
        {
            while (--k);
        } while (--j);
    } while (--i);
}
 
 
void Delay10us()        //@11.0592MHz
{
    unsigned char i;
 
    i = 2;
    while (--i);
}
 
void Delay300ms()       //@11.0592MHz
{
    unsigned char i, j, k;
 
    _nop_();
    i = 3;
    j = 26;
    k = 223;
    do
    {
        do
        {
            while (--k);
        } while (--j);
    } while (--i);
}
 
 
void Delay150ms()       //@11.0592MHz
{
    unsigned char i, j, k;
 
    i = 2;
    j = 13;
    k = 237;
    do
    {
        do
        {
            while (--k);
        } while (--j);
    } while (--i);
}
 
void Delay450ms()       //@11.0592MHz
{
    unsigned char i, j, k;
 
    _nop_();
    i = 4;
    j = 39;
    k = 209;
    do
    {
        do
        {
            while (--k);
        } while (--j);
    } while (--i);
}

delay.h文件

void Delay2000ms();     //@11.0592MHz
 
 
void Delay10us();       //@11.0592MHz
 
void Delay150ms();
 
void Delay450ms();  
void Delay300ms();

hc04.c文件

#include "reg52.h"
#include "delay.h"
 
sbit Trig     = P2^3;
sbit Echo     = P2^2;
 
void Time1Init()
{   
    TMOD &= 0x0F;       //设置定时器模式
    TMOD |= 0x10;
    TH1 = 0;
    TL1 = 0;
    //设置定时器0工作模式1,初始值设定0开始数数,不着急启动定时器
}
 
void startHC()
{
    Trig = 0;
    Trig = 1;
    Delay10us();
    Trig = 0;
}
 
double get_distance()
{
        double time;
        //定时器数据清零,以便下一次测距
        TH1 = 0;
        TL1 = 0;
    //1. Trig ,给Trig端口至少10us的高电平
        startHC();
        //2. echo由低电平跳转到高电平,表示开始发送波
        while(Echo == 0);
        //波发出去的那一下,开始启动定时器
        TR1 = 1;
        //3. 由高电平跳转回低电平,表示波回来了
        while(Echo == 1);
        //波回来的那一下,我们开始停止定时器
        TR1 = 0;
        //4. 计算出中间经过多少时间
        time = (TH1 * 256 + TL1)*1.085;//us为单位
        //5. 距离 = 速度 (340m/s)* 时间/2
        return  (time * 0.017);
}

hc04.h文件

double get_distance();
void Time1Init();

Oled.c文件

#include "reg52.h"
#include "intrins.h"
#include "Oledfont.h"
 
 
sbit scl = P1^2;
sbit sda = P1^3;
 
void IIC_Start()
{
    scl = 0;
    sda = 1;
    scl = 1;
    _nop_();
    sda = 0;
    _nop_();
}
 
void IIC_Stop()
{
    scl = 0;
    sda = 0;
    scl = 1;
    _nop_();
    sda = 1;
    _nop_();
}
 
char IIC_ACK()
{
    char flag;
    sda = 1;//就在时钟脉冲9期间释放数据线
    _nop_();
    scl = 1;
    _nop_();
    flag = sda;
    _nop_();
    scl = 0;
    _nop_();
     
    return flag;
}
 
void IIC_Send_Byte(char dataSend)
{
    int i;
     
    for(i = 0;i<8;i++){
        scl = 0;//scl拉低,让sda做好数据准备
        sda = dataSend & 0x80;//1000 0000获得dataSend的最高位,给sda
        _nop_();//发送数据建立时间
        scl = 1;//scl拉高开始发送
        _nop_();//数据发送时间
        scl = 0;//发送完毕拉低
        _nop_();//
        dataSend = dataSend << 1;
    }
}
 
void Oled_Write_Cmd(char dataCmd)
{
    //  1. start()
    IIC_Start();
    //      
    //  2. 写入从机地址  b0111 1000 0x78
    IIC_Send_Byte(0x78);
    //  3. ACK
    IIC_ACK();
    //  4. cotrol byte: (0)(0)000000 写入命令   (0)(1)000000写入数据
    IIC_Send_Byte(0x00);
    //  5. ACK
    IIC_ACK();
    //6. 写入指令/数据
    IIC_Send_Byte(dataCmd);
    //7. ACK
    IIC_ACK();
    //8. STOP
    IIC_Stop();
}
 
void Oled_Write_Data(char dataData)
{
    //  1. start()
    IIC_Start();
    //      
    //  2. 写入从机地址  b0111 1000 0x78
    IIC_Send_Byte(0x78);
    //  3. ACK
    IIC_ACK();
    //  4. cotrol byte: (0)(0)000000 写入命令   (0)(1)000000写入数据
    IIC_Send_Byte(0x40);
    //  5. ACK
    IIC_ACK();
    ///6. 写入指令/数据
    IIC_Send_Byte(dataData);
    //7. ACK
    IIC_ACK();
    //8. STOP
    IIC_Stop();
}
 
 
void Oled_Init(void){
    Oled_Write_Cmd(0xAE);//--display off
    Oled_Write_Cmd(0x00);//---set low column address
    Oled_Write_Cmd(0x10);//---set high column address
    Oled_Write_Cmd(0x40);//--set start line address  
    Oled_Write_Cmd(0xB0);//--set page address
    Oled_Write_Cmd(0x81); // contract control
    Oled_Write_Cmd(0xFF);//--128   
    Oled_Write_Cmd(0xA1);//set segment remap 
    Oled_Write_Cmd(0xA6);//--normal / reverse
    Oled_Write_Cmd(0xA8);//--set multiplex ratio(1 to 64)
    Oled_Write_Cmd(0x3F);//--1/32 duty
    Oled_Write_Cmd(0xC8);//Com scan direction
    Oled_Write_Cmd(0xD3);//-set display offset
    Oled_Write_Cmd(0x00);//
     
    Oled_Write_Cmd(0xD5);//set osc division
    Oled_Write_Cmd(0x80);//
     
    Oled_Write_Cmd(0xD8);//set area color mode off
    Oled_Write_Cmd(0x05);//
     
    Oled_Write_Cmd(0xD9);//Set Pre-Charge Period
    Oled_Write_Cmd(0xF1);//
     
    Oled_Write_Cmd(0xDA);//set com pin configuartion
    Oled_Write_Cmd(0x12);//
     
    Oled_Write_Cmd(0xDB);//set Vcomh
    Oled_Write_Cmd(0x30);//
     
    Oled_Write_Cmd(0x8D);//set charge pump enable
    Oled_Write_Cmd(0x14);//
     
    Oled_Write_Cmd(0xAF);//--turn on oled panel     
}
 
void Oled_Clear()
{
    unsigned char i,j; //-128 --- 127
     
    for(i=0;i<8;i++){
        Oled_Write_Cmd(0xB0 + i);//page0--page7
        //每个page从0列
        Oled_Write_Cmd(0x00);
        Oled_Write_Cmd(0x10);
        //0到127列,依次写入0,每写入数据,列地址自动偏移
        for(j = 0;j<128;j++){
            Oled_Write_Data(0);
        }
    }
}
 
void Oled_Show_Char(char row,char col,char oledChar){ //row*2-2
    unsigned int  i;
    Oled_Write_Cmd(0xb0+(row*2-2));                           //page 0
    Oled_Write_Cmd(0x00+(col&0x0f));                          //low
    Oled_Write_Cmd(0x10+(col>>4));                            //high  
    for(i=((oledChar-32)*16);i<((oledChar-32)*16+8);i++){
        Oled_Write_Data(F8X16[i]);                            //写数据oledTable1
    }
 
    Oled_Write_Cmd(0xb0+(row*2-1));                           //page 1
    Oled_Write_Cmd(0x00+(col&0x0f));                          //low
    Oled_Write_Cmd(0x10+(col>>4));                            //high
    for(i=((oledChar-32)*16+8);i<((oledChar-32)*16+8+8);i++){
        Oled_Write_Data(F8X16[i]);                            //写数据oledTable1
    }       
}
 
 
/******************************************************************************/
// 函数名称:Oled_Show_Char 
// 输入参数:oledChar 
// 输出参数:无 
// 函数功能:OLED显示单个字符
/******************************************************************************/
void Oled_Show_Str(char row,char col,char *str){
    while(*str!=0){
        Oled_Show_Char(row,col,*str);
        str++;
        col += 8;   
    }       
}

Oled.h文件

void Oled_Write_Cmd(char dataCmd);
void Oled_Write_Data(char dataData);
void Oled_Init(void);
void Oled_Clear();
void Oled_Show_Str(char row,char col,char *str);

sg90.c文件

#include "reg52.h"
#include "delay.h"
 
sbit sg90_con = P1^1;
 
int jd;
int cnt = 0;
 
void Time0Init()
{
    //1. 配置定时器0工作模式位16位计时
    TMOD &= 0xF0;       //设置定时器模式
    TMOD |= 0x01;
    //2. 给初值,定一个0.5出来
    TL0=0x33;
    TH0=0xFE;
    //3. 开始计时
    TR0 = 1;
    TF0 = 0;
    //4. 打开定时器0中断
    ET0 = 1;
    //5. 打开总中断EA
    EA = 1;
}
 
void sgMiddle()
{
    //中间位置
    jd = 3; //90度 1.5ms高电平
    cnt = 0;
}
 
void sgLeft()
{
    //左边位置
    jd = 5; //135度 1.5ms高电平
    cnt = 0;
}
 
void sgRight()
{
    //右边位置
    jd = 1; //0度
    cnt = 0;
}
 
 
void Time0Handler() interrupt 1
{
    cnt++;  //统计爆表的次数. cnt=1的时候,报表了1
    //重新给初值
    TL0=0x33;
    TH0=0xFE;
     
    //控制PWM波
    if(cnt < jd){
        sg90_con = 1;
    }else{
        sg90_con = 0;
    }
     
    if(cnt == 40){//爆表40次,经过了20ms
        cnt = 0;  //当100次表示1s,重新让cnt从0开始,计算下一次的1s
        sg90_con = 1;
    }
         
}

sg90.h文件

void Time0Init();
 
 
void sgMiddle();
 
void sgRight();
 
 
void sgLeft();

Oledfont.h文件

const unsigned char code F8X16[]=     
{
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 0
  0x00,0x00,0x00,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x33,0x30,0x00,0x00,0x00,//! 1
  0x00,0x10,0x0C,0x06,0x10,0x0C,0x06,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//" 2
  0x40,0xC0,0x78,0x40,0xC0,0x78,0x40,0x00,0x04,0x3F,0x04,0x04,0x3F,0x04,0x04,0x00,//# 3
  0x00,0x70,0x88,0xFC,0x08,0x30,0x00,0x00,0x00,0x18,0x20,0xFF,0x21,0x1E,0x00,0x00,//$ 4
  0xF0,0x08,0xF0,0x00,0xE0,0x18,0x00,0x00,0x00,0x21,0x1C,0x03,0x1E,0x21,0x1E,0x00,//% 5
  0x00,0xF0,0x08,0x88,0x70,0x00,0x00,0x00,0x1E,0x21,0x23,0x24,0x19,0x27,0x21,0x10,//& 6
  0x10,0x16,0x0E,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//' 7
  0x00,0x00,0x00,0xE0,0x18,0x04,0x02,0x00,0x00,0x00,0x00,0x07,0x18,0x20,0x40,0x00,//( 8
  0x00,0x02,0x04,0x18,0xE0,0x00,0x00,0x00,0x00,0x40,0x20,0x18,0x07,0x00,0x00,0x00,//) 9
  0x40,0x40,0x80,0xF0,0x80,0x40,0x40,0x00,0x02,0x02,0x01,0x0F,0x01,0x02,0x02,0x00,//* 10
  0x00,0x00,0x00,0xF0,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x1F,0x01,0x01,0x01,0x00,//+ 11
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xB0,0x70,0x00,0x00,0x00,0x00,0x00,//, 12
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x01,//- 13
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x00,//. 14
  0x00,0x00,0x00,0x00,0x80,0x60,0x18,0x04,0x00,0x60,0x18,0x06,0x01,0x00,0x00,0x00,/// 15
  0x00,0xE0,0x10,0x08,0x08,0x10,0xE0,0x00,0x00,0x0F,0x10,0x20,0x20,0x10,0x0F,0x00,//0 16
  0x00,0x10,0x10,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//1 17
  0x00,0x70,0x08,0x08,0x08,0x88,0x70,0x00,0x00,0x30,0x28,0x24,0x22,0x21,0x30,0x00,//2 18
  0x00,0x30,0x08,0x88,0x88,0x48,0x30,0x00,0x00,0x18,0x20,0x20,0x20,0x11,0x0E,0x00,//3 19
  0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00,0x00,0x07,0x04,0x24,0x24,0x3F,0x24,0x00,//4 20
  0x00,0xF8,0x08,0x88,0x88,0x08,0x08,0x00,0x00,0x19,0x21,0x20,0x20,0x11,0x0E,0x00,//5 21
  0x00,0xE0,0x10,0x88,0x88,0x18,0x00,0x00,0x00,0x0F,0x11,0x20,0x20,0x11,0x0E,0x00,//6 22
  0x00,0x38,0x08,0x08,0xC8,0x38,0x08,0x00,0x00,0x00,0x00,0x3F,0x00,0x00,0x00,0x00,//7 23
  0x00,0x70,0x88,0x08,0x08,0x88,0x70,0x00,0x00,0x1C,0x22,0x21,0x21,0x22,0x1C,0x00,//8 24
  0x00,0xE0,0x10,0x08,0x08,0x10,0xE0,0x00,0x00,0x00,0x31,0x22,0x22,0x11,0x0F,0x00,//9 25
  0x00,0x00,0x00,0xC0,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,//: 26
  0x00,0x00,0x00,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x60,0x00,0x00,0x00,0x00,//; 27
  0x00,0x00,0x80,0x40,0x20,0x10,0x08,0x00,0x00,0x01,0x02,0x04,0x08,0x10,0x20,0x00,//< 28
  0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x00,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0x00,//= 29
  0x00,0x08,0x10,0x20,0x40,0x80,0x00,0x00,0x00,0x20,0x10,0x08,0x04,0x02,0x01,0x00,//> 30
  0x00,0x70,0x48,0x08,0x08,0x08,0xF0,0x00,0x00,0x00,0x00,0x30,0x36,0x01,0x00,0x00,//? 31
  0xC0,0x30,0xC8,0x28,0xE8,0x10,0xE0,0x00,0x07,0x18,0x27,0x24,0x23,0x14,0x0B,0x00,//@ 32
  0x00,0x00,0xC0,0x38,0xE0,0x00,0x00,0x00,0x20,0x3C,0x23,0x02,0x02,0x27,0x38,0x20,//A 33
  0x08,0xF8,0x88,0x88,0x88,0x70,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x11,0x0E,0x00,//B 34
  0xC0,0x30,0x08,0x08,0x08,0x08,0x38,0x00,0x07,0x18,0x20,0x20,0x20,0x10,0x08,0x00,//C 35
  0x08,0xF8,0x08,0x08,0x08,0x10,0xE0,0x00,0x20,0x3F,0x20,0x20,0x20,0x10,0x0F,0x00,//D 36
  0x08,0xF8,0x88,0x88,0xE8,0x08,0x10,0x00,0x20,0x3F,0x20,0x20,0x23,0x20,0x18,0x00,//E 37
  0x08,0xF8,0x88,0x88,0xE8,0x08,0x10,0x00,0x20,0x3F,0x20,0x00,0x03,0x00,0x00,0x00,//F 38
  0xC0,0x30,0x08,0x08,0x08,0x38,0x00,0x00,0x07,0x18,0x20,0x20,0x22,0x1E,0x02,0x00,//G 39
  0x08,0xF8,0x08,0x00,0x00,0x08,0xF8,0x08,0x20,0x3F,0x21,0x01,0x01,0x21,0x3F,0x20,//H 40
  0x00,0x08,0x08,0xF8,0x08,0x08,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//I 41
  0x00,0x00,0x08,0x08,0xF8,0x08,0x08,0x00,0xC0,0x80,0x80,0x80,0x7F,0x00,0x00,0x00,//J 42
  0x08,0xF8,0x88,0xC0,0x28,0x18,0x08,0x00,0x20,0x3F,0x20,0x01,0x26,0x38,0x20,0x00,//K 43
  0x08,0xF8,0x08,0x00,0x00,0x00,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x20,0x30,0x00,//L 44
  0x08,0xF8,0xF8,0x00,0xF8,0xF8,0x08,0x00,0x20,0x3F,0x00,0x3F,0x00,0x3F,0x20,0x00,//M 45
  0x08,0xF8,0x30,0xC0,0x00,0x08,0xF8,0x08,0x20,0x3F,0x20,0x00,0x07,0x18,0x3F,0x00,//N 46
  0xE0,0x10,0x08,0x08,0x08,0x10,0xE0,0x00,0x0F,0x10,0x20,0x20,0x20,0x10,0x0F,0x00,//O 47
  0x08,0xF8,0x08,0x08,0x08,0x08,0xF0,0x00,0x20,0x3F,0x21,0x01,0x01,0x01,0x00,0x00,//P 48
  0xE0,0x10,0x08,0x08,0x08,0x10,0xE0,0x00,0x0F,0x18,0x24,0x24,0x38,0x50,0x4F,0x00,//Q 49
  0x08,0xF8,0x88,0x88,0x88,0x88,0x70,0x00,0x20,0x3F,0x20,0x00,0x03,0x0C,0x30,0x20,//R 50
  0x00,0x70,0x88,0x08,0x08,0x08,0x38,0x00,0x00,0x38,0x20,0x21,0x21,0x22,0x1C,0x00,//S 51
  0x18,0x08,0x08,0xF8,0x08,0x08,0x18,0x00,0x00,0x00,0x20,0x3F,0x20,0x00,0x00,0x00,//T 52
  0x08,0xF8,0x08,0x00,0x00,0x08,0xF8,0x08,0x00,0x1F,0x20,0x20,0x20,0x20,0x1F,0x00,//U 53
  0x08,0x78,0x88,0x00,0x00,0xC8,0x38,0x08,0x00,0x00,0x07,0x38,0x0E,0x01,0x00,0x00,//V 54
  0xF8,0x08,0x00,0xF8,0x00,0x08,0xF8,0x00,0x03,0x3C,0x07,0x00,0x07,0x3C,0x03,0x00,//W 55
  0x08,0x18,0x68,0x80,0x80,0x68,0x18,0x08,0x20,0x30,0x2C,0x03,0x03,0x2C,0x30,0x20,//X 56
  0x08,0x38,0xC8,0x00,0xC8,0x38,0x08,0x00,0x00,0x00,0x20,0x3F,0x20,0x00,0x00,0x00,//Y 57
  0x10,0x08,0x08,0x08,0xC8,0x38,0x08,0x00,0x20,0x38,0x26,0x21,0x20,0x20,0x18,0x00,//Z 58
  0x00,0x00,0x00,0xFE,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0x7F,0x40,0x40,0x40,0x00,//[ 59
  0x00,0x0C,0x30,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x06,0x38,0xC0,0x00,//\ 60
  0x00,0x02,0x02,0x02,0xFE,0x00,0x00,0x00,0x00,0x40,0x40,0x40,0x7F,0x00,0x00,0x00,//] 61
  0x00,0x00,0x04,0x02,0x02,0x02,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//^ 62
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,//_ 63
  0x00,0x02,0x02,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//` 64
  0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x19,0x24,0x22,0x22,0x22,0x3F,0x20,//a 65
  0x08,0xF8,0x00,0x80,0x80,0x00,0x00,0x00,0x00,0x3F,0x11,0x20,0x20,0x11,0x0E,0x00,//b 66
  0x00,0x00,0x00,0x80,0x80,0x80,0x00,0x00,0x00,0x0E,0x11,0x20,0x20,0x20,0x11,0x00,//c 67
  0x00,0x00,0x00,0x80,0x80,0x88,0xF8,0x00,0x00,0x0E,0x11,0x20,0x20,0x10,0x3F,0x20,//d 68
  0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x1F,0x22,0x22,0x22,0x22,0x13,0x00,//e 69
  0x00,0x80,0x80,0xF0,0x88,0x88,0x88,0x18,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//f 70
  0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x6B,0x94,0x94,0x94,0x93,0x60,0x00,//g 71
  0x08,0xF8,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x3F,0x21,0x00,0x00,0x20,0x3F,0x20,//h 72
  0x00,0x80,0x98,0x98,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//i 73
  0x00,0x00,0x00,0x80,0x98,0x98,0x00,0x00,0x00,0xC0,0x80,0x80,0x80,0x7F,0x00,0x00,//j 74
  0x08,0xF8,0x00,0x00,0x80,0x80,0x80,0x00,0x20,0x3F,0x24,0x02,0x2D,0x30,0x20,0x00,//k 75
  0x00,0x08,0x08,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//l 76
  0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x20,0x3F,0x20,0x00,0x3F,0x20,0x00,0x3F,//m 77
  0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x3F,0x21,0x00,0x00,0x20,0x3F,0x20,//n 78
  0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x1F,0x20,0x20,0x20,0x20,0x1F,0x00,//o 79
  0x80,0x80,0x00,0x80,0x80,0x00,0x00,0x00,0x80,0xFF,0xA1,0x20,0x20,0x11,0x0E,0x00,//p 80
  0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x0E,0x11,0x20,0x20,0xA0,0xFF,0x80,//q 81
  0x80,0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x20,0x20,0x3F,0x21,0x20,0x00,0x01,0x00,//r 82
  0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x33,0x24,0x24,0x24,0x24,0x19,0x00,//s 83
  0x00,0x80,0x80,0xE0,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x1F,0x20,0x20,0x00,0x00,//t 84
  0x80,0x80,0x00,0x00,0x00,0x80,0x80,0x00,0x00,0x1F,0x20,0x20,0x20,0x10,0x3F,0x20,//u 85
  0x80,0x80,0x80,0x00,0x00,0x80,0x80,0x80,0x00,0x01,0x0E,0x30,0x08,0x06,0x01,0x00,//v 86
  0x80,0x80,0x00,0x80,0x00,0x80,0x80,0x80,0x0F,0x30,0x0C,0x03,0x0C,0x30,0x0F,0x00,//w 87
  0x00,0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x31,0x2E,0x0E,0x31,0x20,0x00,//x 88
  0x80,0x80,0x80,0x00,0x00,0x80,0x80,0x80,0x80,0x81,0x8E,0x70,0x18,0x06,0x01,0x00,//y 89
  0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x21,0x30,0x2C,0x22,0x21,0x30,0x00,//z 90
  0x00,0x00,0x00,0x00,0x80,0x7C,0x02,0x02,0x00,0x00,0x00,0x00,0x00,0x3F,0x40,0x40,//{ 91
  0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,//| 92
  0x00,0x02,0x02,0x7C,0x80,0x00,0x00,0x00,0x00,0x40,0x40,0x3F,0x00,0x00,0x00,0x00,//} 93
  0x00,0x06,0x01,0x01,0x02,0x02,0x04,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//~ 94
};

main.c文件

#include "reg52.h"
#include "hc04.h"
#include "delay.h"
#include "sg90.h"
#include "Oled.h"
#include "motor.h"
 
#define MIDDLE 0
#define LEFT 1
#define RIGHT 2
 
#define BZ 1
#define XJ 2
#define GS 3
#define ST 4
 
sbit A25 = P1^5;
sbit A26 = P1^6;
sbit A27 = P1^7;
 
sbit leftSensorX = P2^7;
sbit rightSensorX = P2^6;
 
sbit leftSensorG = P2^5;
sbit rightSensorG = P2^4;
 
char dir;
     
double disMiddle;
double disLeft;
double disRight;
 
void xunjiMode()
{
        if(leftSensorX == 0 && rightSensorX == 0){
            goForward();
        }
        if(leftSensorX == 1 && rightSensorX == 0){
            goLeft();
        }
         
        if(leftSensorX == 0 && rightSensorX == 1){
            goRight(); 
        }
         
        if(leftSensorX == 1 && rightSensorX == 1){
            //停
            stop();
        }
}
 
void gensuiMode()
{
        if(leftSensorG == 0 && rightSensorG == 0){
            goForward();
        }
        if(leftSensorG == 1 && rightSensorG == 0){
            goRight();
        }
         
        if(leftSensorG == 0 && rightSensorG == 1){
             
            goLeft();
        }
         
        if(leftSensorG == 1 && rightSensorG == 1){
            //停
            stop();
        }
}
 
void bizhangMode()
{
    if(dir != MIDDLE){
            sgMiddle();
            dir = MIDDLE;
            Delay300ms();
        }
        disMiddle = get_distance();
         
        if(disMiddle > 45){
            //前进
            goForward();
        }else if(disMiddle < 15){
            goBack();
             
        }else
        {
            //停止
            stop();
            //测左边距离
            sgLeft();
            Delay300ms();
            disLeft = get_distance();
             
            sgMiddle();
            Delay300ms();
             
            sgRight();
            dir = RIGHT;
            Delay300ms();
            disRight = get_distance();
             
            if(disLeft < disRight){
                goRight();
                Delay150ms();
                stop();
            }
            if(disRight < disLeft){
                goLeft();
                Delay150ms();
                stop();
            }
        }
 
}
 
void main()
{
 
    int mark = 0;
     
    Time0Init();
    Time1Init();
    //舵机的初始位置
 
    sgMiddle();
    Delay300ms();
    Delay300ms();
    dir = MIDDLE;
     
    Oled_Init();
    Oled_Clear();
    Oled_Show_Str(2,2,"-----Ready----");
     
    while(1){
        //满足寻迹模式的条件
        if(A25 == 0 && A26 == 1 && A27 == 1){
            if(mark != XJ){
                Oled_Clear();
                Oled_Show_Str(2,2,"-----XunJi----");
            }
            mark = XJ;
            xunjiMode();
        }
        //满足跟随模式的条件
        if(A25 == 1 && A26 == 0 && A27 == 1){
            if(mark != BZ){
                Oled_Clear();
                Oled_Show_Str(2,2,"-----Bizhang----");
            }
            mark = BZ;
            bizhangMode();
        }
        //满足避障模式的条件
        if(A25 == 1 && A26 == 1 && A27 == 0){
            if(mark != GS){
                Oled_Clear();
                Oled_Show_Str(2,2,"-----GenSui----");
            }
            mark = GS;
            gensuiMode();
        }
				if(A25 == 0 && A26 == 0 && A27 == 0){
            if(mark != ST){
                Oled_Clear();
                Oled_Show_Str(2,2,"-----Stop-----");
            }
            mark = ST;
            stop();
        }
     
    }
}

相关文章:

  • 算法优化 | MATLAB实现BO-RF贝叶斯优化随机森林算法
  • C# 中的多线程
  • 【C++】类和对象(下)—— 再谈构造函数 | static成员 | C++11补丁 |友元
  • 初始C语言(2)
  • DevOps CI/CD 常见面试题
  • SpringSecurity (二) --------- 认证
  • Mybatis新增数据,存在就更新,不存在就添加
  • 嵌入式linux驱动之并发
  • 【Nginx】三、Nginx实现四层负载均衡Nginx实现限流防盗链流量镜像
  • 【第一弹】Python题库刷题---完事开头难,从基础题开始
  • 【命令】进程常用命令
  • Python进阶
  • 支持JDK19虚拟线程的web框架,上篇:体验
  • SpringBoot+Vue实现前后端分离旅游资源信息系统
  • 【Web前端】一文带你吃透HTML(下篇)
  • 【译】JS基础算法脚本:字符串结尾
  • 《剑指offer》分解让复杂问题更简单
  • 【刷算法】从上往下打印二叉树
  • Apache Spark Streaming 使用实例
  • es6(二):字符串的扩展
  • HTTP传输编码增加了传输量,只为解决这一个问题 | 实用 HTTP
  • javascript面向对象之创建对象
  • js正则,这点儿就够用了
  • Laravel 菜鸟晋级之路
  • LeetCode算法系列_0891_子序列宽度之和
  • Lucene解析 - 基本概念
  • PHP 程序员也能做的 Java 开发 30分钟使用 netty 轻松打造一个高性能 websocket 服务...
  • React中的“虫洞”——Context
  • storm drpc实例
  • 从零搭建Koa2 Server
  • 工程优化暨babel升级小记
  • 开发了一款写作软件(OSX,Windows),附带Electron开发指南
  • 每天10道Java面试题,跟我走,offer有!
  • 批量截取pdf文件
  • 视频flv转mp4最快的几种方法(就是不用格式工厂)
  • 说说动画卡顿的解决方案
  • 云大使推广中的常见热门问题
  • 转载:[译] 内容加速黑科技趣谈
  • 追踪解析 FutureTask 源码
  • Play Store发现SimBad恶意软件,1.5亿Android用户成受害者 ...
  • ​油烟净化器电源安全,保障健康餐饮生活
  • # C++之functional库用法整理
  • $refs 、$nextTic、动态组件、name的使用
  • (33)STM32——485实验笔记
  • (ISPRS,2023)深度语义-视觉对齐用于zero-shot遥感图像场景分类
  • (Matalb时序预测)WOA-BP鲸鱼算法优化BP神经网络的多维时序回归预测
  • (八)Spring源码解析:Spring MVC
  • (分布式缓存)Redis哨兵
  • (学习日记)2024.04.04:UCOSIII第三十二节:计数信号量实验
  • (转)IIS6 ASP 0251超过响应缓冲区限制错误的解决方法
  • (转)平衡树
  • (转)原始图像数据和PDF中的图像数据
  • **PHP分步表单提交思路(分页表单提交)
  • *1 计算机基础和操作系统基础及几大协议
  • .NET 分布式技术比较