当前位置: 首页 > news >正文

chisel RegInit/UInt/U

在这里插入图片描述

val reg = RegInit(0.U(8.W))  //ok
val reg = RegInit(0.UInt(8.W))  //err

U 使用在数字 . 后边50.U

UInt 使用在IO(new Bundle val a = Input(UInt(8.W)) 或者 def counter(max:UInt, a1:UInt)

package emptyimport chisel3._
import chisel3.util._class MyCounter extends Module {val io = IO(new Bundle {val a = Input(UInt(8.W))  //val a = Input(U(8.W)) val c = Output(UInt(8.W))})val init = io.adef counter(max:UInt, a1:UInt) ={  //max:U  //errval reg = RegInit(a1)   //ok//val reg = RegInit(0.U(8.W))  //ok//val reg = RegInit(0.UInt(8.W))  //errwhen(reg===max) {reg := 0.U(max.getWidth.W)}.otherwise {reg := reg + 1.U(1.W)}reg  // return value}io.c := counter(50.U, io.a)
}object MyCounterMain extends App {println("Generating the adder hardware")emitVerilog(new MyCounter(), Array("--target-dir", "generated"))
}

相关文章:

  • 测试管理_利用python连接禅道数据库并自动统计bug数据到钉钉群
  • Rust 初体验2
  • 最小生成树——Prim/Kruskal Python
  • Windows 安装 MySQL 最新最简教程
  • 使用Linux docker方式快速安装Plik并结合内网穿透实现公网访问
  • 百卓Smart管理平台 uploadfile.php 文件上传漏洞(CVE-2024-0939)
  • -转换流-
  • 08-Java过滤器模式 ( Filter Pattern )
  • QT设置qss
  • 11 插入排序和希尔排序
  • 《Docker极简教程》--Docker环境的搭建--在Mac上搭建Docker环境
  • C语言使⽤ scanf()函数应注意的问题是什么?
  • 设计模式(结构型模式)桥接模式
  • linux的tree用法
  • 【每日一题】LeetCode——反转链表
  • [译]前端离线指南(上)
  • 《用数据讲故事》作者Cole N. Knaflic:消除一切无效的图表
  • codis proxy处理流程
  • Golang-长连接-状态推送
  • HashMap剖析之内部结构
  • JavaScript 一些 DOM 的知识点
  • JavaScript设计模式之工厂模式
  • Java到底能干嘛?
  • Js基础知识(一) - 变量
  • linux学习笔记
  • Mithril.js 入门介绍
  • Mybatis初体验
  • scala基础语法(二)
  • storm drpc实例
  • vue:响应原理
  • Vue源码解析(二)Vue的双向绑定讲解及实现
  • 关于springcloud Gateway中的限流
  • 免费小说阅读小程序
  • 如何合理的规划jvm性能调优
  • 深入 Nginx 之配置篇
  • 它承受着该等级不该有的简单, leetcode 564 寻找最近的回文数
  • 通信类
  • 最近的计划
  • ​configparser --- 配置文件解析器​
  • #数学建模# 线性规划问题的Matlab求解
  • (差分)胡桃爱原石
  • (二)Linux——Linux常用指令
  • (分类)KNN算法- 参数调优
  • (附源码)计算机毕业设计SSM疫情社区管理系统
  • (原創) 物件導向與老子思想 (OO)
  • (转)eclipse内存溢出设置 -Xms212m -Xmx804m -XX:PermSize=250M -XX:MaxPermSize=356m
  • (转)iOS字体
  • (转)程序员技术练级攻略
  • .NET 8 中引入新的 IHostedLifecycleService 接口 实现定时任务
  • .NetCore项目nginx发布
  • .NET实现之(自动更新)
  • @Autowired多个相同类型bean装配问题
  • @DependsOn:解析 Spring 中的依赖关系之艺术
  • [ vulhub漏洞复现篇 ] AppWeb认证绕过漏洞(CVE-2018-8715)
  • [ 常用工具篇 ] AntSword 蚁剑安装及使用详解