Systemc example based on VCS
README
VCS example path: $VCS_HOME/doc/examples/systemc/
SYSTEMC_HOME: module load systemc(or 自己download systemc, VCS_HOME下应该也有:$VCS_HOME/include/systemc233/tlm_utils)
gcc需要是7.3.0版本,module load gcc/7.3.0
注意事项
vcs 需要加上-full64, 否则会报“/bin/ld: cannot find -lstdc++”
VCS command
syscan -full64 -tlm2 sc_toop.cpp:sc_top
vcs -full64 -sverilog -sysc -ntb_opts rvm +incdir+${VCS_HOME}/etc/systemc/tlm/tli -timescale=1ns/1ps
Simple
修改Makefile,增加-full64
make comp -> make run
uvm_tlm_analysis
cmd:
syscan -full64 -tlm2 consumer.cpp
syscan -full64 -tlm2 producer.cpp
syscan -full64 -tlm2 sc_top.cpp:sc_top
vcs -full64 -sverilog -ntb_opts uvm +define+NO_VMM_UVM_INTEROP+VCS_TLI_GP_PACKING +incdir+${VCS_HOME}/etc/systemc/tlm/tli -sysc -timescale=1ns/1ps +define+VMM_12 -l comp.log ./top.v