当前位置: 首页 > news >正文

UVM:8.2.4 factory 机制的调试

1.UVM提供了print_override_info 帮助debug。以上节new_monitor 为例:



2.调用print_override_info :


结果:


实际调用debug_create_by_name。


3.还提供debug_create_by_type,原型:


1)应用:


输出与上面同样。


4.uvm_factory 还提供print 函数。原型:


1)參数可能为0,1,2。0打印最少,2打印最多。


5.uvm_root 的print_topology 打印UVM 树的拓扑结构。要在build_phase 后调用。

相关文章:

  • Windows XP下安装WinCE6.0开发环境
  • 代码的演变随记
  • Linux下的乱码问题
  • C - Train Problem II——(HDU 1023 Catalan 数)
  • 每周总结和进度报告
  • windows中:git 使用RBTools工具 review
  • 获取Ceph的CRUSH Map和CRUSH Map介绍
  • 微信支付v3开发(5) 扫码并输入金额支付
  • 教你如何获取索爱X10 Android2.1 Root权限
  • Perl语言——简单说明
  • Linux命令(4):cat命令
  • javascript 闭包理解例子
  • 客户端putty, xshell连接linux中vim的小键盘问题
  • 2016蘑菇街编程题5题
  • C指针(二)
  • [译]如何构建服务器端web组件,为何要构建?
  • 【402天】跃迁之路——程序员高效学习方法论探索系列(实验阶段159-2018.03.14)...
  • 【Linux系统编程】快速查找errno错误码信息
  • 【从零开始安装kubernetes-1.7.3】2.flannel、docker以及Harbor的配置以及作用
  • CSS盒模型深入
  • isset在php5.6-和php7.0+的一些差异
  • Python连接Oracle
  • Python语法速览与机器学习开发环境搭建
  • UMLCHINA 首席专家潘加宇鼎力推荐
  • 从@property说起(二)当我们写下@property (nonatomic, weak) id obj时,我们究竟写了什么...
  • 前端js -- this指向总结。
  • 小程序开发中的那些坑
  • Linux权限管理(week1_day5)--技术流ken
  • scrapy中间件源码分析及常用中间件大全
  • ​Kaggle X光肺炎检测比赛第二名方案解析 | CVPR 2020 Workshop
  • #pragma 指令
  • #单片机(TB6600驱动42步进电机)
  • $HTTP_POST_VARS['']和$_POST['']的区别
  • (Java岗)秋招打卡!一本学历拿下美团、阿里、快手、米哈游offer
  • (一)UDP基本编程步骤
  • .NET 4 并行(多核)“.NET研究”编程系列之二 从Task开始
  • .net core Swagger 过滤部分Api
  • .NET 事件模型教程(二)
  • .NET 中的轻量级线程安全
  • .NetCore实践篇:分布式监控Zipkin持久化之殇
  • .NET单元测试
  • .NET轻量级ORM组件Dapper葵花宝典
  • .NET学习全景图
  • /proc/vmstat 详解
  • [8-27]正则表达式、扩展表达式以及相关实战
  • [BSGS算法]纯水斐波那契数列
  • [BZOJ] 1001: [BeiJing2006]狼抓兔子
  • [C++从入门到精通] 14.虚函数、纯虚函数和虚析构(virtual)
  • [Erlang 0129] Erlang 杂记 VI 2014年10月28日
  • [HDU] 1054 Strategic Game 入门树形DP
  • [HDU]2161Primes
  • [IMX6DL] CPU频率调节模式以及降频方法
  • [JS]JavaScript 注释 输入输出语句
  • [LeeCode]-Divide Two Integers 不用乘除的除法运算
  • [leetcode]56. Merge Intervals归并区间