当前位置: 首页 > news >正文

FPGA开发——UART回环实现之接收模块的设计

一、简介

        因为我们本次进行串口回环的实验的对象是FPGA开发板和PC端,所以在接收和发送模块中先编写接收模块,这样可以在后面更好的进行发送模块的验证。(其实这里先编写哪个模块)都不影响,这里看自己心情,反正都可以独立进行仿真。)

        在上一篇文章中,我们对于UART回环实现的总体系统框架做了一盒简单的构建,所以在实现时我们也按照那个框架来。这里就先对于接收模块进行一个设计。

二、接收模块的基本设计

        本次设计我们采用状态机的实现方式,将状态机划分为四个,第一个就是空闲状态,表示设备没有接收数据,第二个是开始状态,表示设备接收到起始位,第三个接收数据过程状态,用于表示设备接收数据的过程,最后一个就是停止位,表示设备接受数据完成。

三、接收模块的波形图绘制

根据上面的状态机,我们可以据此展开波形图的绘制,分别就是对于信号进行打拍,下降沿检测,两个状态,以及bit和波特率、输出数据等的表示。

        使用三级打拍,利用后两拍信号实现下降沿检测,当检测到下降沿,状态机由IDLE进入到START,然后利用波特率计数器计数1bit的起始位,来到DATA,利用波特率计数器和bit计数器用于接收数据,接收完数据之后进入STOP,最后利用波特率计数器计数1bit的停止位,状态又回到初始的IDLE状态。

 四、代码实现

1、设计文件的编写

        新建一个uart_rx.v文件,如下:在代码编写的过程中我们还需要注意的就是UART在进行通信时是串行通信,二我们的设备中数据时并行的,所以在代码中我们还要实现数据串并型的转换。

//---------<模块及端口声名>-------------------------------------------
module uart_rx( input				clk		 ,input				rst_n	 ,input				din_rx   ,output		[7:0]	dout_data,output			    dout_flag	
);								 
//---------<参数定义>------------------------------------------------
parameter CLK_CLY=50_000_000;
parameter BAUD_115200=115200;
parameter BPS_CNT_MAX=CLK_CLY/BAUD_115200; 
parameter     IDLE  =4'b0001,START =4'b0010,DATA  =4'b0100,STOP  =4'b1000;
//---------<内部信号定义>--------------------------------------------
reg           uart_rx_d1;//对异步信号进行同步处理
reg           uart_rx_d2;
reg           uart_rx_d3;reg     [3:0]  state_c;
reg     [3:0]  state_n;
wire            nedge;//起始位下降沿检测
reg     [8:0]  cnt_bps;//波特率计数器
wire           add_cnt_bps;
wire           end_cnt_bps;reg     [2:0]  cnt_bit;//bit数据计数器
wire           add_cnt_bit;
wire           end_cnt_bit;reg     [7:0]  uart_rx_r;//用于存储接收到的数据
reg            rx_flag;//接收数据完成标志位
//第一段:同步时序描述状态转移
always @(posedge clk or negedge rst_n)begin if(!rst_n)beginstate_c <=IDLE ;end else begin state_c <= state_n;end 
end//第二段:组合逻辑判断状态转移条件,描述状态转移规律
always @(*) begincase(state_c)IDLE  : beginif (nedge) state_n=START ;elsestate_n=IDLE ;endSTART : beginif (end_cnt_bps) state_n=DATA ;elsestate_n=START ;endDATA  : beginif (end_cnt_bit) state_n=STOP ;elsestate_n=DATA ;endSTOP  : beginif (end_cnt_bps) state_n=IDLE ;elsestate_n=STOP ;enddefault : state_n=IDLE ;endcase
end
//对uart_rx进行打拍同步处理
always @(posedge clk or negedge rst_n)begin if(!rst_n)beginuart_rx_d1 <= 1'b1;uart_rx_d2 <= 1'b1;uart_rx_d3 <= 1'b1;end  else begin uart_rx_d1 <=din_rx;uart_rx_d2 <=uart_rx_d1;uart_rx_d3 <=uart_rx_d2;end 
end
//nedge下降沿检测
assign nedge=~uart_rx_d2 & uart_rx_d3;//波特率计数器
always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_bps <= 9'd0;end else if(add_cnt_bps)begin if(end_cnt_bps)begin cnt_bps <= 'd0;endelse begin cnt_bps <= cnt_bps + 1'b1;end end
end assign add_cnt_bps =(state_c != IDLE) ;
assign end_cnt_bps = add_cnt_bps && (cnt_bps ==(BPS_CNT_MAX-1)) ;//bit计数器
always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_bit <= 9'd0;end else if(add_cnt_bit)begin if(end_cnt_bit)begin cnt_bit <= 'd0;endelse begin cnt_bit <= cnt_bit + 1'b1;end end
end assign add_cnt_bit =(state_c == DATA)&& end_cnt_bps ;
assign end_cnt_bit = add_cnt_bit && (cnt_bit ==(8-1)) ;//将串行数据变为并行数据
always @(posedge clk or negedge rst_n)begin if(!rst_n)beginuart_rx_r <= 1'b0;end else if((state_c==DATA)&&(cnt_bps==BPS_CNT_MAX/2-1))begin uart_rx_r<={uart_rx_d3,uart_rx_r[7:1]};//uart_rx_r[cnt_bit]<=uart_rx_d3;end 
end//接收数据完成标志wei
always @(posedge clk or negedge rst_n)begin if(!rst_n)beginrx_flag <= 1'b0;end else if(end_cnt_bit)begin rx_flag<= 1'b1;end else begin rx_flag<= 1'b0;end 
end
assign dout_data = uart_rx_r;
assign dout_flag = rx_flag;
endmodule

2、测试文件的编写

`timescale  1us/1us
module  uart_rx_tb();//********************************************************************//
//****************** Parameter and Internal Signal *******************//
//********************************************************************//
//reg   define
reg             clk          ;
reg             rst_n	     ;
reg             din_rx       ;
wire    [7:0]   dout_data    ;
wire            dout_flag    ;uart_rx uart_rx_inst(/*input            */ .clk	     (clk        ),/*input            */ .rst_n     (rst_n	 ),        /*input            */ .din_rx    (din_rx     ),/*output  reg      */ .dout_data (dout_data  ),/*output  reg [7:0]*/ .dout_flag (dout_flag  )
);
parameter CLOCK_CYCLE=20;
//产生时钟initial clk = 1'b0;always #10 clk = ~clk;//产生激励initial  begin rst_n = 1'b1;din_rx = 1;//空闲为高电平#(CLOCK_CYCLE*2);rst_n = 1'b0;#(CLOCK_CYCLE*20);rst_n = 1'b1;#1002;//模拟UART接收模块的串行输入//起始位din_rx = 0;#(434*CLOCK_CYCLE);//数据位:8'b1011_0011din_rx = 1;//LSB#(434*CLOCK_CYCLE);din_rx = 1;#(434*CLOCK_CYCLE);din_rx = 0;#(434*CLOCK_CYCLE);din_rx = 0;#(434*CLOCK_CYCLE);din_rx = 1;#(434*CLOCK_CYCLE);din_rx = 1;#(434*CLOCK_CYCLE);din_rx = 0;#(434*CLOCK_CYCLE);din_rx = 1;#(434*CLOCK_CYCLE);//停止位din_rx = 1;#(434*CLOCK_CYCLE);#(CLOCK_CYCLE*100);$stop;endendmodule 

五、波形图仿真

 在波形图中我们观察到dout_data的数据和发送数据不一样,这是因为UART是低位先发,所以在波形图中我们看到的输入和输出数据时相反的,这里需要我们注意一下。

相关文章:

  • 北京网站建设多少钱?
  • 辽宁网页制作哪家好_网站建设
  • 高端品牌网站建设_汉中网站制作
  • 嵌入式人工智能ESP32(4-PWM呼吸灯)
  • qemu的VNC协议(RFB协议)
  • Django后台数据获取展示
  • Android常用控件Button
  • conda install vs pip install
  • JavaScript基础——函数
  • 使用Python编写AI程序,让机器变得更智能
  • Qt框架学习04——元对象系统
  • Jakarta Servlet 到 SpringMVC
  • 【研发日记】嵌入式处理器技能解锁(四)——TI C2000 DSP的Memory
  • C++编程:无锁环形队列 (LockFreeRingQueue)的简单实现、测试和分析
  • ELK整合实战,filebeat和logstash采集SpringBoot项目日志发送至ES
  • Yii2框架的初始化及执行流程
  • 索引是什么
  • springboot生成、响应图片验证码
  • ES6指北【2】—— 箭头函数
  • [译] 理解数组在 PHP 内部的实现(给PHP开发者的PHP源码-第四部分)
  • 【mysql】环境安装、服务启动、密码设置
  • IDEA常用插件整理
  • spring + angular 实现导出excel
  • 对话:中国为什么有前途/ 写给中国的经济学
  • 给第三方使用接口的 URL 签名实现
  • 关于springcloud Gateway中的限流
  • 跨域
  • 如何进阶一名有竞争力的程序员?
  • 使用SAX解析XML
  • 微信小程序填坑清单
  • 物联网链路协议
  • 项目管理碎碎念系列之一:干系人管理
  • 一些基于React、Vue、Node.js、MongoDB技术栈的实践项目
  • AI又要和人类“对打”,Deepmind宣布《星战Ⅱ》即将开始 ...
  • # .NET Framework中使用命名管道进行进程间通信
  • #Linux(权限管理)
  • (pojstep1.3.1)1017(构造法模拟)
  • (附源码)springboot炼糖厂地磅全自动控制系统 毕业设计 341357
  • (剑指Offer)面试题34:丑数
  • (三)uboot源码分析
  • (学习总结16)C++模版2
  • (一)Spring Cloud 直击微服务作用、架构应用、hystrix降级
  • (译)计算距离、方位和更多经纬度之间的点
  • .NET 解决重复提交问题
  • .NET/C# 使用反射注册事件
  • .net连接oracle数据库
  • .Net转Java自学之路—基础巩固篇十三(集合)
  • .vimrc 配置项
  • @ConditionalOnProperty注解使用说明
  • @NoArgsConstructor和@AllArgsConstructor,@Builder
  • @vue-office/excel 解决移动端预览excel文件触发软键盘
  • [ A*实现 ] C++,矩阵地图
  • [ 隧道技术 ] 反弹shell的集中常见方式(二)bash反弹shell
  • [1]-基于图搜索的路径规划基础
  • [18] Opencv_CUDA应用之 基于颜色的对象检测与跟踪
  • [ACM独立出版]2024年虚拟现实、图像和信号处理国际学术会议(ICVISP 2024)
  • [C++][数据结构][跳表]详细讲解
  • [C++]打开新世界的大门之C++入门